In fact, the price of advanced process technologies such as TSMC N7 and N5 has increased by 10% last year, and the price increase of processes such as N16 and earlier has even reached 20%.

2024/05/2408:16:33 hotcomm 1529

According to recent news from DigiTimes, TSMC will have another round of process price increases starting from January next year, with the price increase being about 6%. In fact, the price of advanced process technologies such as TSMC N7 and N5 has increased by 10% last year, and the price increase of processes such as N16 and earlier has even reached 20%.

The current utilization rate of TSMC's wafer fabs has far exceeded what we call "full load" operation rate every day, and there seems to be no sign of easing in the short term. As mentioned in our previous article, the semiconductor industry chain is relatively long. Even if some downstream industries have experienced market saturation, the response speed of the upstream is still slower. Moreover, TSMC now has new growth points, and it is not surprising that the shortage of supply continues.

When it comes to increasing production capacity, our thinking seems to be generally limited to CapEx investment in existing processes, including building new factories and other measures. To truly promote human development, when there is market demand, shouldn’t technological innovation be the first thing to be considered? For example, the larger wafer . 450mm/18-inch wafer seems to be a topic that can be updated every year, but this kind of wafer has never really appeared. As this wave of core shortage environment comes to an end, let’s talk about the future of 450mm wafers.

In fact, the price of advanced process technologies such as TSMC N7 and N5 has increased by 10% last year, and the price increase of processes such as N16 and earlier has even reached 20%. - DayDayNews

It takes time to make wafers bigger

We know that 200mm (8 inches) and 300mm (12 inches) wafers are still widely used. In fact, 200mm and 300mm wafers are not innate. When the era enters the 21st century, 300mm wafers were born. In fact, it took at least 10 years for the industry’s advanced manufacturing technology to shift from 200mm to 300mm. .

The transition leader in the 150mm wafer period is Intel; in the 200mm period it is IBM; a large amount of the cost of 300mm wafers is shared by equipment manufacturers - the payback period for the initial massive cost investment is said to be quite long. Data from previous research institutions show that the transition from 150mm to 200mm wafers lasted six years, and the capital investment for the transition was approximately US$1.5 billion. For 200mm → 300mm, the cost increases by 9 times and the time becomes longer. Of course, the industry believes that there should be 450mm and 675mm wafers after 300mm. The

chip die is cut from the wafer, and chip manufacturing involves yield and output issues. The value of making the wafer larger is that the number of die that can be cut per wafer increases, which can improve the overall yield of the chip; at the same time, the number of die processed each time increases, which also greatly reduces the time cost and increases the production capacity. ——More conducive to rapid cost dilution of chips. By all accounts, this is a good deal.

Part of Moore's Law is that as technology advances, manufacturing costs will also decrease. To quantify this, it means that the cost of manufacturing each transistor is continuously declining with the development of technology. Not long ago, we mentioned in the article "The Mystery of Semiconductor Manufacturing Costs: The Reason for the Rare Price Increase of Old Processes Is..." that actually starting from the 20nm process, the cost of transistors per unit has stopped falling. This has a lot to do with the fact that technology iteration is becoming less and less powerful.

At this time, the industry is required to seek breakthroughs, and enlarging the wafer seems to be a good direction. Many years ago, Intel conducted research showing that in the long run, enlarging wafers can help accelerate the decline in the cost of manufacturing a single transistor. But why has the industry never been able to move from 300mm to 450mm wafers?

The expectations of the industry are always very good

We have been saying that foundry/fab factories are generally keen on launching satellites in the time planning of cutting-edge manufacturing processes. This tradition seems to have never changed. Intel's official website still has this press release: In May 2008, Intel, Samsung Electronics , and TSMC announced an agreement to cooperate in transitioning to 450mm wafers. This press release also introduces the previous transition history of 200mm → 300mm wafers.

specifically mentioned that "in order to maintain consistency with the pace of historical growth, Intel, Samsung and TSMC all believe that 2012 is a reasonable target time to start switching to 450mm wafers." Now it seems that this statement is too optimistic - even in 2022, 10 years after the goal was set, 450mm wafers are still very far away.

It seems that later, the industry also adjusted the expected time for the start of application of 450mm wafers, adjusting it to 2015... It can only be said that the development of technology never depends on people's will. After all, as mentioned above, judging from the historical experience of 150mm→200mm and 200mm→300mm, the exponential cost and time increase may underestimate the difficulty of realizing 450mm wafers.

Just like 2 years ago, the industry was underestimating the CapEx cost investment of the 3nm process. The initial transition cost of 450mm wafer may be more than 10 times that of the 300mm period. To fully migrate the existing semiconductor manufacturing process to larger wafers is not something that can be decided by one or two foundry factories. Market participants in all upstream and downstream links, especially silicon wafer suppliers, different manufacturing equipment and material suppliers, chip design, packaging and testing are all facing a technological innovation. Various tools involved in design, manufacturing, packaging and testing need to be re-developed and put into production.

There is also a more practical problem. The cost of building a cutting-edge manufacturing process has increased exponentially, and the development speed of the semiconductor market has not kept up with the cost growth rate. Currently, there are only market participants engaged in cutting-edge manufacturing processes. 3. This is much worse than the situation when the 200mm → 300mm steering was achieved. Due to the huge investment cost of 450mm wafer transition, there are only a few companies left that can take the lead in the early stage; and the massive costs need to be borne by more upstream equipment suppliers.

Market participants in cutting-edge manufacturing processes continue to decrease. The industry has invested huge initial costs in 450mm, and the expected time to recover the costs is unpredictable. Faced with this fact, commercial enterprises are inevitably more unwilling to devote their attention to it, causing the development process of 450mm wafer technology to enter a vicious cycle.

html More than 2005 years ago, International Electronics Business Information published an article saying that no one was paying attention to the 18-inch wafer fab, and it might continue to be silent for 5-10 years. The timeline for this language seems to be coming soon again.

is getting further and further off the track

The G450C, which was jointly formed by Intel, TSMC, GlobalFoundries, IBM and Samsung, has attracted much attention. This cooperation that year prepared 4.8 billion US dollars to develop 450mm wafer-related tools and infrastructure, and cooperate with suppliers to support ecological development. Of course, now it seems that the amount of 4.8 billion cannot even compare with the current annual CapEx investment of a cutting-edge technology foundry.

In 2013, during the quarterly financial report conference call, Intel's CEO at the time also stated that the plan remained unchanged and still insisted on the value of 450mm wafers. In March of the following year, news broke that Intel would postpone its 450mm wafer mass production plan to 2023. During the same period, lithography machine supplier ASML decided to suspend the development of a new generation of equipment capable of processing 450mm wafers; media reports in the second half of the year mentioned At this time, except Intel, all major tool manufacturers and wafer fabs must re-evaluate the feasibility of their plans.

News about the G450C has occasionally appeared in the newspapers since then. In 2017, it was reported that two companies in G450C had turned around and left after the 5-year plan. However, it seems that in 2014, the earliest wave of 450mm wafer plans had been sentenced to death.

A few months ago, Gartner gave a keynote speech at the SEMI ISS seminar, entitled Can the semiconductor industry reach US$1 trillion in revenue in 2030. It mentioned that in the coming period, 25 new memory fab factories (with a production capacity of 100k wafers/month) and 100 logic or other fab factories (with a 300mm wafer production capacity of 50k wafers/month) will be opened.

At that time, IC Knowledge commented that semiconductor manufacturing plants have huge demand for resources. The area of ​​450mm wafer is 2.25 times that of 300mm wafer. In other words, to achieve the same production capacity, the number of factories required for 450mm wafers will be 2.25 times less than that for 300mm wafers. In other words, it is expected that 25 new memory fab factories will be built. If it switches to 450mm wafers, only 11 fab factories will need to be built. Similarly, 100 logic or other fab factories can be reduced to 44 fab factories. Although

should not be able to achieve 2.25 times of resource saving, the resource investment in all parts should be reduced, including labor costs, manageability, etc. IC Knowledge estimates that if 450mm wafers are put into use, the net cost reduction per die can reach 20-25%.

In fact, the price of advanced process technologies such as TSMC N7 and N5 has increased by 10% last year, and the price increase of processes such as N16 and earlier has even reached 20%. - DayDayNews

But now, the 450mm plan is gradually drifting away - not only is the previous 450mm manufacturing plan no longer mentioned, but also the technological development path of the current cutting-edge manufacturing process, which has further caused the industry to deviate from the 450mm wafer development track. ASML's current EUV system is trying higher high-NA numerical apertures. This alone will nail the lithography technology in the next few years to the 300mm track. If it turns, the sunk cost of and will be quite huge.

The current ASML high-NA EUV system cannot carry 450mm wafers. IC Knowledge commented that if this system applied to 300mm wafers is to be transferred to 450mm, it will face huge engineering challenges. There is a high probability that the 5-10 years predicted in 2017 will not be fulfilled.

Scotten Jones said that the semiconductor industry is inherently short-sighted, and this short-sightedness is usually at the expense of long-term interests. Originally, 450mm wafer manufacturing could bring better cost-effectiveness in the long term, but it will inevitably face pain in the short term. In the past two years, the core shortage faced during the special period and the cost of single-transistor manufacturing has stopped falling, which has exposed the industry's problems. What's more, based on current technological development needs, building so many new factories in the future will cost a huge amount of resources. 450mm wafers have the opportunity to make us pay less, but the industry currently has no such opportunity.

Editor: Elaine

hotcomm Category Latest News