According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026.

2024/06/3006:32:35 hotcomm 1046
According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

According to Reportlinker data, the global photoresist market is expected to have a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. The growth rate of the mainland market is higher than that of the world. The scale is expected to exceed 10 billion yuan in 2022, and its proportion of the global photoresist market will continue to increase. By 2026, the proportion is expected to increase from about 15% in 2019 to 19.3%.

From the downstream field, display, PCB, and IC are the three major application fields. Semiconductor photoresist technology is the most difficult and has the fastest growth rate. SEMI statistics show that the global IC photoresist market will reach US$2.47 billion in 2021, an increase of 19.49% over the same period last year, with mainland China's growth rate exceeding twice that of the world. The LCD photoresist market is growing steadily, with a global scale of nearly US$1.4 billion in 2020, and a CAGR of 2% from 2021 to 2026. The mainland benefits from industrial transfers and the rise of local panel factories, with a CAGR of 14.6% from 2019 to 2023, higher than the global level.

As an intelligent internal reference in this issue, we recommend Zhongtai Securities’ report "Photoresist Industry In-Depth Report", which reveals the process of domestic substitution of photoresist.

Source Zhongtai Securities

Original title:

"Photoresist Industry In-Depth Report "

Author: Wang Fang Yang Xu

1. tens of billions of US dollars market, showing the three major applications of + PCB + IC

The global market continues to expand , 2023 html is expected to exceed 10 billion US dollars in 8 years . Photoresist is a key raw material for manufacturing. With the rapid development of automobiles, artificial intelligence, national defense and other fields in the future, the global photoresist market is expected to continue to grow. According to Reportlinker data, the global photoresist market is expected to grow at a compound annual growth rate of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026.

The growth rate of the mainland market is higher than that of the world, and is expected to exceed 10 billion yuan in 2022 . Coupled with industrial transfer factors, the growth rate of China's photoresist market exceeds the global average. According to data from the China Business Industry Research Institute, China's photoresist market will reach 9.33 billion yuan in 2021, with a CAGR of 11.9% from 2016 to 21 and a year-on-year growth of 11.7% in 21, which is higher than the global photoresist growth rate of 5.75% in the same period. As the PCB, LCD and semiconductor industries continue to shift to China in the future, China's photoresist market is expected to continue to expand, and its proportion of the global photoresist market will also continue to increase. It is expected that by 2026, the proportion will increase from about 15% in 2019 to 19.3%.

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

Global photoresist market size from 2019 to 2026

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

2016-2021 China's photoresist market size

display, PCB, IC are the three major application fields, and their total proportion exceeds 70%. According to different application fields, photoresists can be divided into photoresists for printed circuit boards (PCB), photoresists for liquid crystal displays (LCD), photoresists for semiconductors and photoresists for other purposes. According to Reportlinker data, PCB, semiconductor and flat panel display photoresist accounted for 27.8%, 21.9% and 23.0% respectively in 2019, making them the top three application areas.

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNewsAccording to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews019 Global downstream applications of photoresist

According to the removal or retention of the exposed area during the development process, it is divided into positive photoresist ( positive photoresist ) and negative photoresist (negative photoresist). Positive and negative photoresists each have their own advantages. , but the positive film has a higher resolution and is the mainstream light resist .

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

Positive and negative photoresists have different characteristics and are suitable for different processes

1 and Semiconductor photoresists: the most technically difficult and the fastest growing

The growth rate of the global semiconductor photoresist market is much higher than the global photoresist average level, and the proportion continues to increase. According to SEMI statistics, the global semiconductor photoresist market will reach US$2.471 billion in 2021, an increase of 19.49% over the same period last year, and the CAGR from 2015 to 2021 is 12.03%. The global semiconductor photoresist market size in 2019 was approximately US$1.8 billion. Semiconductor photoresist accounted for approximately 21.9% of the overall photoresist market, and the proportion will increase to 26.85% by 2021.

The growth rate of mainland semiconductor photoresist exceeds twice that of the world .In terms of regions, the semiconductor photoresist market in mainland China still maintains the fastest growth rate. The market size will reach US$493 million in 2021, an increase of 43.69% over the same period last year, more than twice the growth rate of semiconductor photoresist for the whole year; China Its share of the global semiconductor photoresist market will also increase from approximately 10.4% in 2015 to nearly 20% in 2021.

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

2015-25 China's semiconductor photoresist market accounts for the global proportion

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNewsAccording to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews9-21 The proportion of semiconductor photoresist continues to increase

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

The global semiconductor materials market size gradually increases (100 million US dollars)

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

Photoresist accounts for about 6% of semiconductor materials (2020 Year)

The rapid rise of China’s semiconductor photoresist is inseparable from the development of China’s overall semiconductor industry. has benefited from the large-scale construction of According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNewsG, as well as the popularization of applications such as remote working and webcasting due to the COVID-19 epidemic in 2020. The global integrated circuit industry has developed rapidly. According to FrostSullivan data, the integrated circuit market size in 2013 was US$251.8 billion. By 2019, the integrated circuit market The market size is as high as US$333.4 billion, with a compound annual growth rate of 4.79%. The scale of the global integrated circuit market declined in 2019, mainly due to factors such as global trade frictions, changes in storage supply and demand, and declining demand for smartphones, servers and other products. It is expected that the global integrated circuit market will reach US$475 billion by 2025, with a CAGR of 6.02% from 2020 to 2025.

my country's integrated circuit industry started late, but it is developing rapidly . According to China Semiconductor Industry Association data, China's integrated circuit sales revenue in 2013 was 250.8 billion yuan, reaching 756.2 billion yuan in 2019, with an average annual compound growth rate of 20.2%. Driven by the development of 5G and emerging industries, such as automotive electronics Driven by the industry and the Internet of Things, the market scale of China's integrated circuit industry will continue to expand. It is expected that by 2025, the scale of my country's integrated circuit market will reach 1,893.2 billion yuan, with a CAGR of 16.22% from 2020 to 2025.

According to the exposure wavelength, the global ArF/EUV photoresist accounts for more than 50%, which is the international mainstream . Semiconductor photoresists can be divided into five categories according to different exposure wavelengths: g-line (436nm), i-line (365nm), KrF (248nm), ArF (193nm) and the newly emerging EUV photoresist. High-end photoresist refers to KrF , ArF and EUV photoresist, the higher the grade, the higher the ultimate resolution. The higher the wiring density of the silicon wafer in the same area, the better the performance. According to TECHCET data, in terms of market distribution, ArFi+ArF photoresist will account for 48.1% of the global photoresist market in 2021, KrF will account for 34.7%, and G/I lines will account for 14.7%. ArF (including ArFi) photoresist is already the photoresist product with the largest demand for integrated circuit manufacturing. As the ultra-advanced processes of the integrated circuit industry continue to develop, ArF photoresist continues to usher in broad market opportunities.

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

The proportion of different types of semiconductor photoresists in the world in 2021

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

Classification and application scenarios of semiconductor photoresists

With the development of the global semiconductor industry and the continuous shrinking of manufacturing process technology nodes, the market demand for KrF and ArF photoresists is increasing. Larger and faster growth rates are the main factors driving the rapid growth of the current photoresist market. From the perspective of market size growth, EUV photoresist is developing the fastest, but it is small in the early stages of development. It will only be about 51 million U.S. dollars in 2021 and is expected to reach 197 million U.S. dollars by 2025, with a CAGR of 48.8% from 2020 to 2025; The second fastest growing is KrF photoresist, with a global market size of US$690 million in 2021 and expected to reach US$907 million by 2025, with a CAGR of 8.2% from 2020 to 2025. The global market size of ArF photoresist (ArF+ArFi) in 2021 is US$955 million, and is expected to reach US$1.072 billion by 2025, with a CAGR of 3.5% from 2020 to 2025; the market size of the relatively low-end g/i line photoresist is expected to change Not big, the proportion is reduced.

From the perspective of application products, logic accounts for more than 63.5% in 2021, making it the largest application field. non-volatile memory (NVM) is a type of memory that can retain saved data even when the computer is powered off, and has the fastest growth rate.According to TECHCET data, the demand for photoresist for logic will exceed 5.95 million liters in 2021, accounting for more than 63.5%. The demand will increase to approximately 6.77 million liters by 2025, with a CAGR of 3.3% from 2021 to 2025. Due to NVM’s impact on photoresist Due to the rapid increase in demand (CAGR 12.8% from 2021 to 2025), it is expected that the proportion of logic will decrease slightly to 59.5% in 2025, and the proportion of NVM will increase to 26.4%. The demand for non-volatile memory (NVM) is mainly due to the significant increase in storage capacity required by mobile devices, especially cameras, smartphones and tablets.

As the process shrinks and storage capacity increases, the number of photolithography times increases, and the gold content of photoresist per unit area becomes higher. According to SEMI data, the value of photoresist used per unit area has increased from less than US$0.12/square inch in March 2015 to approximately US$0.19/square inch in September 2021. The increase in average value is mainly due to advanced processes The proportion increases and the number of photolithography increases.

2, LCD photoresist: The market is growing steadily, and the growth rate in mainland China is much higher than the global

panel photoresist is mainly divided into color photoresist, black photoresist, touch screen photoresist and TFT-LCD positive photolithography glue. Color photoresist and black photoresist are mainly used to prepare color filters; photoresist for touch screens is mainly used to deposit ITO on glass substrates to make touch electrodes; TFT-LCD positive photoresist is mainly used for micro-pattern processing . The

color filter is a key component for liquid crystal displays to achieve color display, so it accounts for the largest proportion of panel photoresist. According to Fuji Economic data, the global color photoresist market accounted for more than 60% of panel photoresist in 2018, with TFT photoresist and black photoresist accounting for 23% and 14% respectively.

Photoresist accounts for about 10% of LCD panel manufacturing costs, directly benefiting from the expansion of LCD market. According to TrendBank data, color filters account for 21% of panel costs. Color photoresist and black photoresist are the core materials for preparing color filters. Among the cost of color filter materials, color photoresist and black photoresist account for about 46% of the overall cost, so it can be calculated Photoresist accounts for approximately 9.66% of the cost of LCD panels, and the photoresist market directly benefits from the expansion of the LCD market.

The global LCD photoresist market scale exceeds 13 00 million US dollars, 2021-2026 years CAGR is 2%. According to QY research, the global LCD photoresist market has risen steadily, reaching US$1.398 billion in 2020, and is expected to reach US$1.575 billion in 2026. The CAGR from 2020 to 2026 is 2%. In the future, it is expected to benefit from the large-size and high-definition trend of LCD TV . The LCD panel shipment area continues to increase, which will fully transmit the demand for panel photoresist. According to Zhiyan Consulting data, it is expected that my country's LCD photoresist market size will increase from 4 billion yuan to 6.9 billion yuan in 2019-2023, with a 4-year CAGR of 14.6%. The domestic market share is also expected to increase from 47.9% in 2019 to 74.6% in 23 years.

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

2016-2026 Global LCD photoresist market size (100 million US dollars)

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

China's LCD photoresist market size and proportion changes from 2019 to 2023

LCD Photoresist benefits from the expansion of LCD market size, large size and high definition are the industry Development is the main driving force. LCD The trend of large-size TVs is the main driving force for the development of the LCD panel industry. According to the China Commercial Industry Research Institute, LCD TVs are the largest application field of TFT-LCD panels, accounting for more than 60% of panel demand in the entire LCD panel market. The shipment volume of LCD TVs determines the demand trend of LCD panels.

With the new LCD production lines in Japan, South Korea, Taiwan, China and other countries and regions slowing down or even shutting down existing production lines, as well as the sudden emergence of mainland Chinese manufacturers, the construction of panel production lines in mainland China is active, providing new display equipment and raw materials for the world. Provides major markets.According to Qunzhi Consulting data, in 21H1 BOE , TCL CSOT , Huike , and Rainbow Optoelectronics, the shipment area reached 20.5, 14.9, 8.5, and 5 million square meters respectively, ranking among the top ten panel manufacturers in the world. The proportion of shipment area reached 58.1%. It is expected that as the industrial transfer continues and the capacity under construction is released, the total shipment area proportion of domestic manufacturers will reach approximately 67% by 2023.

3, PCB photoresist: industrial transfer is the main driving force

The PCB processing and manufacturing process involves pattern transfer, that is, transferring the designed circuit image to the substrate board, so photoresist will be used in this process. The basic process is as follows: first, a layer of photoresist film is formed on the surface of the substrate, and then ultraviolet light is irradiated onto the photoresist film through the mask. A series of chemical reactions occur in the exposed area, and then the exposed area is developed through development. (positive) or unexposed areas (negative) are dissolved and removed, and finally the pattern is transferred to the substrate through a series of processes such as curing, etching, and film removal.

PCB photoresist specifically includes dry film photoresist, wet film photoresist (also known as resist/line ink) and photoimaging solder mask ink. It is an important upstream material in the PCB industry. In the PCB cost structure, photoresist and The proportion of ink is about 3%.

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

PCB cost structure proportion: photoresist ink cost accounts for about 3%

Before 1990, the global PCB market was dominated by Europe, the United States and Japan. At this time, my country's PCB photoresist products also relied on imports. Since the mid-1990s, the PCB industry has begun to shift. Since 2002, foreign-funded PCB photoresist companies have successively built factories in China. By 2017, my country's PCB output value accounted for 50.8% of the global share, and PCB photoresist output value accounted for more than 70% of the global share. By 2019, the market share reached 93.35%, mainly concentrated in the mid-to-low-end product market.

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

Global PCB industry transfer vs PCB photoresist industry transfer

Affected by the epidemic in 2020, the global economy has shrunk as a whole, but the PCB market rebounded strongly and achieved a growth rate of 6.4%, mainly due to data centers, cloud servers , etc. The growth in demand has led to the explosion of packaging carrier boards, HDI and high-multilayer boards. According to Prismark's 2020 Q4 report, in the medium and long term, the PCB industry will also maintain a steady growth trend. It is expected that the compound annual growth rate of global PCB output value from 2020 to 2025 will be approximately 5.8%.

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

The proportion of China’s PCB output value continues to increase from 2016 to 2020.

From a regional perspective, according to Prismark’s forecast, the PCB industry in all regions around the world will develop rapidly in the future. Among them, China is forecast to grow by 6.4% year-on-year in 2020, and the compound growth rate in China from 2020 to 2025 is forecast to reach 5.6%. Japan and Asia (mainly my country's Taiwan and South Korea) are the main suppliers of global packaging substrate , and will show a high growth rate in the next five years.

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

Forecast of PCB output value by region in 2020-2025

2. The U.S. and Japan oligopoly , urgent demand for localization

1, The four major barriers have created high concentration, and the U.S. and Japan oligopoly in the global market

The photoresist production process is complex, four Big barriers create high thresholds for the industry. The first major barrier of

: technical barriers, production process requirements are extremely high, and formula is fundamental. As the core of photolithography process, photoresist must meet four major conditions. The decisive factor in choosing photoresist is the size requirements of the surface of the wafer. Photoresist must meet four major conditions at the same time: 1) Produce the required size. 2) It has the function of blocking etching during the etching process of and . There must be no pinholes in the photoresist layer that maintains a specific thickness. 3) It must be well bonded to the surface of the wafer (or other substrate), otherwise the etched pattern may be distorted. 4) Process dimensions and step coverage capabilities.

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

Main technical parameters of photoresist

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

Distribution of global photoresist technology source countries (as of September 2021) (Unit: 10,000 pieces)

The second largest barrier: customer certification barriers, deep binding of upstream and downstream, and long verification cycle.Before is supplied with photoresist, it will generally undergo photoresist product verification and factory (production line) qualification verification. Photoresist verification is divided into PRS (photoresist performance test) and STR (small photoresist test) according to the verification stage. test), MSTR (batch verification) and Release (pass verification); in terms of factory (production line) qualification verification, it mainly conducts verification in terms of quality system, supply stability, factory (production line) production capacity, etc. After the factory (production line) qualification verification and product verification pass, formal supply to customers can be achieved. Since the verification cycle is usually 6-24 months, the cost of switching photoresist for downstream wafer factories is high. Usually customers are not willing to switch photoresist, and it is difficult for photoresist companies to make customer breakthroughs.

The third major barrier: equipment barrier, lithography machine is expensive and difficult to purchase. Before sending samples of , photoresist manufacturers need to purchase a photolithography machine for internal formula testing and adjust the formula based on the verification results. Lithography equipment is expensive, limited in quantity, and supply may be restricted abroad. Especially for EUV lithography machines, currently only ASML can be supplied in batches in the world.

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

ASML lithography machine sales from 2019 to 2021 (Unit: Taiwan)

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

The average selling price of ASML lithography machines in 2021

The fourth major barrier: raw material barriers, the domestic industrial chain is not yet complete, and the industrialization of resin and monomers is full of difficulties. The upstream raw materials of are an important factor affecting the quality of photoresist. At present, my country's photoresist collagen material market is basically monopolized by foreign manufacturers. In particular, resins and photosensitizers are highly dependent on imports, and the localization rate is very low. This has increased the number of domestic photoresist materials. Glue production costs and supply chain risks.

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

Global photoresist competition landscape in 2020

Looking at the segmented products of semiconductor photoresists, major giants focus more on mid-to-high-end photoresists. currently ranks first in Tokyo Yingka's comprehensive strength. In addition to its 16% market share in the ArF photoresist field, it ranks behind JSR (25%), Shin-Etsu Chemical (22%), and Sumitomo Chemical (17%). The shares of all three fields rank first, among which it is the leader in the field of EUV photoresist, with one company accounting for more than half of the share.

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

G/I line photoresist market share in 2020

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

KrF photoresist market share in 2020

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

ArF photoresist market share in 2020

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

EUV photoresist market share in 2020

PCB photoresist: low High-end products are dominated by domestic products. In the PCB photoresist market, China occupies a dominant position in mid-to-low-end products. In 2020, local companies such as Rongda Photosensitive, Guangxin Materials, Oriental Materials, and Beijing Rio Tinto accounted for about 46% of domestic wet film photoresist. Wako imaging solder mask ink market share. However, the higher-end dry film photoresist market is mainly monopolized by Asahi Kasei of Japan, Hitachi Chemical of Japan, and Changxing Chemical of Taiwan. These three companies account for more than 80% of the global market share. my country is still highly dependent on dry film photoresist. import.

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

Main Chinese PCB photoresist manufacturers (2020)

LCD The global supply of photoresist is concentrated in Japan, South Korea, Taiwan, China and other regions. The localization rate of my country's color and black photoresist market is only 5% about. The polymer pigments and pigment dispersion technologies required for color filters are mainly concentrated in the hands of Japanese pigment manufacturers such as Ciba. Therefore, the core technologies of color photoresist and black photoresist are basically monopolized by Japanese and Korean companies. On the other hand, my country has made breakthroughs in touch screen photoresist technology in recent years. Jingrui Technology and Beijing Kehua Microelectronics have achieved mass production of touch screen photoresist, with a localization rate of 30%-40%. about. The upstream raw materials of

2 and , resin and monomer, are more difficult, and mainland industrialization is waiting to break through.

Solvents, photosensitizers, and resins are the three major raw materials of photoresist. photoresist will be fine-tuned according to different wavelengths of light and different exposure sources. Photoresist has specific thermal process characteristics, is formulated using specific methods, and is combined with specific surfaces. These properties are determined by the type, quantity, and mixing process of different chemical components in the photoresist. Solvents, photosensitizers, and resins are the three major raw materials of photoresist.

From the content point of view, according to Trendbank data, the proportion of the main raw materials of photoresist from large to small are solvent (50%-90%), resin (10%-40%), photoinitiator (1%-6%) to and additive (). The solvent is the component with the largest capacity in the photoresist, keeping the photoresist in a liquid state and enabling the photoresist to form a thin layer on the wafer surface by spin coating. Sensitizers control or regulate the chemical reactions of the photoresist during exposure and are used to produce or control polymer-specific reactions. The

photosensitive agent is added to the photoresist to limit the spectrum range of the reaction light or limit the reaction light to a specific wavelength of light. Polymers are used when the photolithography machine is exposed. The polymer structure changes from soluble to aggregated (or vice versa). It is composed of a group of large and heavy molecules, including carbon, hydrogen, and oxygen. Typical polymers such as plastics . In addition, photoresists also contain additives. Different types of additives and photoresists are mixed together to achieve a specific result. Some negative resists contain dyes that are used to absorb and control light in the photoresist film. Positive resists may contain chemical anti-dissolution systems, additives that prevent the unexposed portions of the resist from dissolving during development.

(1) From a cost perspective, resin accounts for a larger proportion of the cost in high-end photoresists. According to the NTU Optoelectronics announcement, ArF photoresist resin is mainly propylene glycol methyl ether acetate , with a mass proportion of only 5%-10%, but the cost accounts for more than 97% of the total cost of photolithography collagen materials.

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

The proportion of photoresist collagen material content

The resins required for various types of photoresists are almost all monopolized overseas . G-line photoresist uses cyclized rubber resin; I-line photoresist uses novolac resin, which mainly relies on imports, and the level of localization is very low. Regarding the KrF and ArF photoresists that are stuck in domestic photoresists, KrF uses polyparahydroxystyrene resin and basically relies on imports. First, because the monomers needed to produce the resin are rarely supplied by domestic manufacturers; second, because the resin The production process also has certain difficulties, especially the post-processing process. ArF uses polymethacrylate type resin. The monomer is the derivative monomer of methacrylate and acrylate . ArF resin is copolymerized by several monomers and has a high degree of customization. High-end Arf resin is almost impossible to buy. EUV uses poly(para-hydroxystyrene) resin, molecular glass, and metal oxides, which are almost blank in China.

two major photoresist resin manufacturers in the world . Currently, major photoresist resin manufacturers around the world are divided into two categories: one is photoresist manufacturers that produce their own resins, such as Shin-Etsu Chemical and DuPont, which usually hold technical patents on resin synthesis and photoresist formulas. The other type is manufacturers that specialize in the production of resins, such as Toyo Gosei, Sumitomo Bakelite, Mitsubishi Chemical , etc., which provide customized resins for photoresist manufacturers. Classification of

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

photoresist resin

(2) Monomer: Japanese and American companies account for the majority.

Different photoresist types have corresponding photoresist monomers . Traditional I-line monomers are mainly methylphenol and formaldehyde , which are bulk chemicals; KrF monomers are mainly styrene monomers, which are liquid; ArF monomers are mainly methacrylate monomers. Body, with properties ranging from solid to liquid. The performance indicators of photoresist monomer include purity, moisture, acid value, impurities, metal ion content and other indicators.

Semiconductor-grade photoresist monomers have higher barriers than ordinary monomers . 1) The synthesis technology of semiconductor-grade photoresist monomers is more difficult. 2) Semiconductor-grade photoresist monomer requires more stable quality and fewer metal ion impurities. For example, the purity of semiconductor-grade monomers is required to reach 99.5%, and the metal ion content is less than 1 ppb (that is, one part per billion); while the monomer structure at the panel level is ethylene oxide, the purity requirement may be only 99.0%, and the metal ion content At least less than 100ppb. 3) The price of semiconductor-grade photoresist monomers is much higher than that of general monomers. The I-line monomer is about 100-200 yuan/kg, the KrF monomer is about 500-1,000 yuan/kg, and the ArF dry and wet monomer prices range from 3,000-10,000 yuan/kg.There are six major difficulties in the industrialization of

: 1) Monomer polymerization needs to be prevented during synthesis and purification; 2) There are many types of photoresist monomers, and different synthesis methods must be studied for different monomers, with varying degrees of difficulty. 3) High purity requirements: High purity must be defined from different indicators, such as gas phase (GC), liquid phase (HPLC), gel chromatography (GPC), etc. Sometimes the purity must reach more than 99.9%. 4) Metal ion control: The metal ion content in semiconductor-grade monomers, especially ArF monomers, must be below 1 ppb. 5) Process amplification: Standard monomers produced in laboratories cannot meet customer needs, and industrial-grade supply needs to be achieved through stable large-scale mass production. 6) Photoresist monomer companies need a long-term certification process to enter the supplier system of downstream customers, and generally they will not be replaced easily.

(3) Solvent: At present, the photoresist solvent is mainly PGMEA (propylene glycol methyl etherate, referred to as PMA), and the self-sufficiency rate in mainland China is relatively high. According to data from the New Thinking Industry Research Center, my country is the world's largest PGMEA producer, with production capacity accounting for about 35% of the world's total output. The production companies include Baichuan Co., Ltd. , Ruijia Chemical, Yida Chemical, Warren, and Dana International. wait. In the global market, PGMEA manufacturers include Dow Chemical, Shell Chemicals, Lyondell Basel Industries, Eastman Chemical, etc. The above four companies account for more than half of the global market.

(4) photoinitiator: The concentration trend is becoming increasingly obvious, and the historical purchase price has shown a downward trend. photoinitiator is the core raw material of photocurable materials (mainly including UV coatings, UV inks, UV adhesives, etc.). Light-curing materials are important alternatives to traditional solvent-based coatings, inks, and adhesives. The global photoinitiator market sales reached US$680 million in 2020 and are expected to reach approximately US$1.1 billion in 2027, with a CAGR of 4.57% from 2020 to 2027.

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

Photoinitiator market size and forecast from 2016 to 2027

Major companies in the photoinitiator field include IGM Resins, Tianjin Jiuri New Materials, Changzhou Qianli and Arkema ; among them, IGM Resins is the global market leader with revenue Occupying 27% of the global market share in 2020. Tianjin Jiuri New Materials is the domestic market leader, with revenue accounting for 28% of China's market share in 2020. The UV coating manufacturing industry is the industry with the largest demand for photoinitiators. This industry requires photoinitiator suppliers to provide products with reliable quality, high cost performance and continuous and stable supply. Due to the higher requirements of downstream customers, the concentration of the industry is growing day by day. From the perspective of the purchase price of photoinitiators, according to the data disclosed by Rongda Photosensitive, from 2018 to 2020H1, as the competitive structure of upstream suppliers gradually stabilized, the purchase price of photoinitiators decreased overall, and the average purchase price in the first half of 2020 It is 131.46 yuan/kg. Historically, the average purchase price of photoinitiators has tended to decrease.

The photoresist industry was first dominated by Europe and the United States, with Japanese manufacturers catching up. In 1839, the first "photolithography system" dichromate gelatin was born. After a hundred years of development, photoresist technology began to mature. In the 1950s, the German Kalle Company produced diazonaphthoquinone-phenolic resin printing materials. The exposure light source could use g-line or i-line. In the 1980s, IBM used self-developed KrF photoresist to break through KrF lithography technology. Subsequently, Tokyo Onka developed KrF positive photoresist in 1995 and achieved large-scale commercialization, thus quickly occupying the market. This marked the official entry of photoresist into the era of dominance of Japanese manufacturers.

JSR: A photoresist leader that started out as a synthetic resin. The company started out in the latex business. Since synthetic resin is also one of the main materials for photoresist, JSR began to use resin technology to enter the photoresist field in the early 1980s. In the past 40 years, JSR's photoresist business has grown along with the progress of semiconductor process technology. At present, the company's semiconductor photoresist has fully covered g-line to EUV photoresist.

From immersion ArF photoresist to EUV extreme ultraviolet photoresist, JSR has played the role of an industry pioneer in every photoresist technology change.JSR is mainly divided into two divisions, the Petrochemical Division and the Fine Chemical Division. The Fine Chemical Division includes three fields: semiconductor materials, display materials and edge computing materials; JSR’s conductor material products include photoresist and CMP materials. , packaging and testing materials, etc. Display materials include LCD flat panel materials, reflective film materials and other functional coating materials.

TOK: EUV photoresist takes the lead. is a Japanese chemical materials company with a long history. The company was founded in 1940. After developing positive-type glue and negative-type glue for semiconductors in 1968 and 1972, it has always aimed to become a leading supplier of photoresist and is at the forefront of semiconductor micro-processing technology. As early as 2006, Tokyo Onka (TOK) took the lead in investing and developing the technology required for ArF immersion photoresist, and has maintained a leading position in the world photoresist market.

Tokyo Yinghua has a complete layout of semiconductor photoresists. In 2020, from g/i photoresist to EUV photoresist, Tokyo Yinghua has a market share of 25.2%, 31.4%, 15.8%, and 51.8% respectively. In addition to ArF photoresist ranks first except for fourth, making it the well-deserved global leader in photoresist.

4. The rise of the industrial chain is urgent, with 8 key enterprises laying out

Semiconductor photoresist is monopolized by Japanese companies, and the localization rate is only 10%. At present, our country has only achieved mass production of g-line, i-line, and KrF photoresists, and ArF photoresist Most resists are in the research and development or sample delivery stage, and EUV photoresists are still in the early stages of research and development. Photoresist for flat panel displays is mainly produced in Japan, South Korea and Taiwan. Among them, the localization rate of color photoresist and black photoresist is only 5%. Jacques Technology became the largest domestic supplier after acquiring the business of LG Chem. For TFT photoresist, most of the production capacity in mainland China is still imported. PCB photoresist, wet film and solder mask ink are basically self-sufficient, with a localization rate of 46%. However, dry film photoresist still needs to be imported in large quantities, with the main supply areas being Japan and Taiwan.

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

China’s photoresist localization rate is low (2020)

Mainland companies have a presence in upstream raw materials. Judging from the layout of A-share listed companies in photolithography collagen materials, solvents include Baichuan Co., Ltd., Yida Co., Ltd., etc., monomers include Huamao Technology (invested in Xuzhou Bokang), Lianrui New Materials, etc., and resins include Tongcheng New Materials. , Shengquan Group, Qiangli New Materials, etc. Photoinitiators include Qiangli New Materials, etc.

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

Panoramic view of the photoresist industry chain

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

The upstream raw materials of photoresist have been laid out in China

Tongcheng New Materials: its subsidiary Kehua Beixu photoresist dual faucet, KrF photoresist has achieved large-scale production. company is the world's leading comprehensive service provider of new materials. It has acquired Beijing Kehua and Beixu Electronics to develop high-end photoresists, and has achieved a complete layout from electronic phenolic resin to finished photoresists. Company announcements show that Beijing Kehua is the only Chinese photoresist company listed among the top eight global photoresists by SEMI, supplying KrF photoresist in batches; Beixu Electronics is a leading domestic panel photoresist company, TFT positive photoresist Glue holds more than 45% share in BOE.

In 2021, the company's semiconductor photoresist business achieved operating income of 115 million yuan, a year-on-year increase of 28.80%; the company's G/I line photoresist products for semiconductors increased by 50.22% compared with the same period last year; KrF photoresist products increased year-on-year 265.80%. During the reporting period, the company's G-line photoresist market share reached 60%; I-line photoresist and KrF photoresist were supplied in batches to SMIC, Huahong Grace, Yangtze Memory, Huali Microelectronics, Wuhan New There are 13 12-inch customers and 17 8-inch customers including Xinxin and China Resources Shanghai. The I-line photoresist of subsidiary Beijing Kehua is close to the international advanced level, and its types cover most domestic process requirements above 14nm; KrF products have made major breakthroughs in key layer processes such as Poly, AA, and Metal, and have been used in batches by customers; At the same time, the market share of TM/TV, Thick, Implant, ContactHole and other processes continues to increase.

Jingrui Electrical Materials: A pioneer in domestic photoresist with approximately thirty years of experience. Jingrui Co., Ltd. specializes in product research and development, production and sales of microelectronic chemicals.Its four major categories of microelectronic chemicals (ultra-clean and high-purity reagents, photoresists, functional materials and lithium battery binders) are all downstream of the five emerging industries (semiconductors, photovoltaic solar cells, LEDs, flat panel displays and lithium batteries) key materials. After years of research and development and accumulation, some of Jingrui's ultra-clean and high-purity reagents have reached the highest international purity level (G5), breaking foreign technology monopoly and formulating a number of industry standards; subsidiary Suzhou Ruihong is the first in China to realize the current integrated circuit chip The mass production of core photoresist, which is widely used in the manufacturing field, has established a high technical reputation in the industry. Suzhou Ruihong, a subsidiary of

, has dozens of product models including negative photoresist series, broad spectrum positive photoresist series, g-line series, i-line photoresist series, and KrF photoresist series. i-line photoresist has been supplied to well-known large-size semiconductor manufacturers such as SMIC and Hefei Changxin. It is one of the local companies with the largest shipment volume of semiconductor photoresist in my country; KrF (248nm deep ultraviolet) photoresist The product resolution has reached the technical requirements of 0.25-0.13µm and has passed tests by some important customers. The KrF photoresist mass production line is under active construction and is planned to be available in batches in 2022.

Nanda Optoelectronics: ArF photoresist has been sent for verification, and the progress is leading the industry. Jiangsu Nanda Optoelectronic Materials Co., Ltd. is a high-tech enterprise specializing in the research, development, production and sales of high-purity electronic materials. With more than 30 years of technological accumulation advantages, the company has successively conquered the industrialization of a full range of MO source products under the National 863 Program, the R&D and industrialization of high-purity electronic gases (arsenane, phosphine) in the National "02-Special Project", and the ALD/CVD precursor It has filled many domestic gaps such as the industrialization of sports equipment and many other projects that have troubled our country for decades.

Between 2016 and 2021, the company's business scale has developed rapidly. In 2021, it achieved operating income of 984 million yuan, with a CAGR of 54.19%; it achieved net profit attributable to the parent company of 136 million yuan, with a CAGR of 76.32%.

Chinachem Technology: Investing in Xuzhou Bokang to enter the photoresist field and has full industry chain capabilities. Chinachem Technology is the domestic leader in airbags, with the largest domestic market share. The company's subsidiary Dongyang Kaiyang increased its capital to Xuzhou Bokang by 30 million yuan in 2020, and provided Xuzhou Bokang's actual controller Fu Wei with a convertible loan of 550 million yuan and an investment of 220 million yuan. Through this move, Chinachem Technology holds a total of 26.7% of the shares of Xuzhou Bokang, successfully entering the photoresist field.

Xuzhou Bokang has applied for more than 50 photoresist monomers and related patents, of which more than 20 have been authorized, mainly involving KrF and ArF photoresist monomers. Currently, it has more than 160 R&D personnel, more than 50% of whom are PhDs and masters. The company's new production base entered trial production on June 25, 2021. If the new production base is planned to reach full production, it can achieve an annual production capacity of more than 1,100t lithography materials, which is mainly used for lithography processing in the integrated circuit industry. According to the company It is estimated that the output value will be around 2 billion yuan.

Shanghai Xinyang: China's leader in key materials for integrated circuit manufacturing, packaging and testing. Shanghai Xinyang products are widely used in integrated circuit manufacturing, 3D-IC advanced packaging, IC traditional packaging and testing and other fields, and have become the baseline material (Base Line) for the 28nm technology node of many integrated circuit manufacturing companies. As a well-known brand of China's semiconductor functional chemical materials and application technologies and services, Shanghai Xinyang has established a project to develop high-resolution 193nm ArF photoresist and supporting materials and application technologies for integrated circuit manufacturing. Based on this, the company's leading position in the field of domestic semiconductor functional chemical materials will be more stable.

The company's revenue and net profit attributable to the parent company grew rapidly from 2016 to 2021. In 2021, the company achieved operating income of 1.016 billion yuan, with a CAGR of 19.69%; the net profit attributable to the parent company was 104 million yuan. The main reasons for the year-on-year decline are: 1. The company's 20-year Changes in the fair value of financial assets affected non-recurring gains and losses by RMB 220 million, but the impact on non-recurring gains and losses in 2021 was relatively small. Net profit after deducting non-recurring gains and losses increased by 85%-128% compared with the same period last year; 2. In order to carry out photoresist research and development projects and participate in the research and development of major national science and technology projects, the company has invested more than 200 million yuan in research and development in 21 years.

Jacques Technology: Focusing on panel photoresist, epitaxial mergers and acquisitions continue to expand the photoresist territory. Yake Technology Co., Ltd. is a company listed on the Shenzhen Stock Exchange's small and medium-sized board dedicated to the production and sales of industrial materials. Jacques has "new materials", "new energy" and "electronics" business divisions. In 2016, Jacques Technology acquired Chengdu Kemet and South Korea's Up Chemical, and indirectly participated in South Korea's COTEM company, thus having the business capabilities of TFT positive glue, semiconductor material SDO (spin-on insulating medium), precursors and fluorinated gases. , and placed it within the organizational framework of the "Electronics" Division.

Zhidongxi believes that photoresist is an essential raw material for the manufacturing of semiconductors, panels, and PCBs, and it is difficult and has high barriers. At present, domestic photoresist has achieved milestone breakthroughs in midstream manufacturing, and a number of outstanding companies have emerged. In 2022, domestic semiconductor photoresist is expected to bear fruit and achieve large-scale production.

According to Reportlinker data, the global photoresist market is expected to reach a CAGR of 6.3% from 2019 to 2026, exceeding US$10 billion by 2023, and exceeding US$12 billion by 2026. - DayDayNews

hotcomm Category Latest News