先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起

2024年03月11日23:05:12 科技 1083

報告出品方:國泰君安

以下為報告原文節選

------

1. 摩爾定律實現受阻,先進封裝之風興起

1.1. 摩爾定律經濟能效降低,先進封裝拓展芯片升級方向

摩爾定律經濟效益遇到瓶頸,芯片製造進入後摩爾時代。摩爾定律指的是隨着技術的升級,芯片承載的晶體管數量每隔 18-24 個月便會成倍增加,同時性能增加一倍或成本減少一半。隨着芯片技術的演進,研發周期拉長,製程工藝迭代需花費更長時間。由於微觀層面物理極限的限制,單位晶體管成本下降的速度不斷放緩。根據 IBS 的統計和預測數據顯示,芯片製程從 16nm 到 10nm,每 10 億顆晶體管成本減少了 23.5%,但是從 5nm 到 3nm 成本僅減少了 4%。若芯片製程微縮至近 1nm,就將進入量子物理領域,產生短道溝效應和散熱等亟待解決的問題,使摩爾定律逐漸失效。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


後摩爾時代,先進封裝成為提升芯片性能的重要發展方向。集成電路性能提升主要向兩個技術方向發展,一個是延伸摩爾定律(More Moore),使芯片進一步小型化,縮小晶體管特徵尺寸來增加芯片上的晶體管數量,進而提升芯片性能,但正如前文所述製程微縮帶來的經濟能效持續下降。
另一個是超越摩爾定律(More Than Moore),採用先進封裝技術,將不同功能的芯片集成在一個系統內,實現功能的整合和性能的升級。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


封裝技術發展至今共經歷四個階段,當前已進入先進封裝時代。
第一階段:通孔插裝時代(20 世紀 70 年代前)。以雙列直插封裝(Dual In-line Package,DIP)為代表。
第二階段:表面貼裝時代(20 世紀 80 年代後)。該階段典型封裝方式為扁平方形封裝(Quad Flat Package,QFP)、無引腳芯片載體(Leadless Chip Carrier, LCC)、小外形封裝(Small Outline Package,SOP)等,使用針柵陣列(Pin Grid Array, PGA)技術,用引線替代第一階段的引腳,轉變為向表面貼裝型封裝。第一、第二階段均為傳統封裝。
第三階段:面積陣列時代(20 世紀 90 年代後)。該階段興起了球柵陣列(Ball Grid Array,BGA)、單芯片封裝(Chip Scale Package,CSP)等先進封裝技術。
第四階段:先進封裝時代(21 世紀後)。封裝技術不斷發展,出現了倒裝焊(Flip Chip)、晶圓級封裝(Wafer LevelPackage,WLP))、2.5D/3D封裝等多種先進封裝技術,從二維向三維、從封裝元件向封裝系統發展。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


先進封裝本質是提升 I/O 密度,核心衡量指標為凸塊間距與凸塊密度。
封裝主要起到保護和電路連接的作用,分為傳統封裝和先進封裝。傳統封裝的電路連接主要依賴引線框架,先進封裝的電路連接則主要通過凸塊(bump)完成。先進封裝內涵豐富,但本質為提升 I/O 密度,進而提升芯片性能。衡量 I/O 密度最核心的指標為凸塊間距(Bump Pitch)和凸塊密度(Bump Density)。根據 IDTechEx 定義,只有凸塊間距小於 100μm 的封裝才屬於先進封裝,本文將延用這一定義。先進封裝,更確切來說可以被稱為異構集成,整個體系包含倒裝焊(Flip Chip)、晶圓級封裝(WLP)、扇入/扇出、2.5D 封裝(Interposer)、3D 封裝(TSV)、混合鍵合、Chiplet 等 一系列技術與理念。在台積電的發 展路線中,倒裝>2.5D/3D>SoIC 等技術路線的凸塊間距不斷縮小,凸塊密度持續提升。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


相比傳統封裝,先進封裝在功能和開發方面具有下述優勢:1)提高功能密度:在功能相同的情況下,先進封裝可以減少空間佔用,將更多的元件和功能集成到更小的空間內,提高芯片的功能密度。
2)縮短互連長度:在傳統封裝中,引線穿過外殼和引腳需要數十毫米甚至更長,導致延時和功耗問題。先進封裝將互聯長度從毫米級縮短至微米級,使得性能和功耗得以提升。
3)增加 I/O 數量:先進封裝製造多層 RDL、倒裝芯片與晶片級封裝相結合、添加硅通孔、優化引腳布局以及使用高密度連接器等方式,可以在有限的封裝空間內增加 I/O 數量。
4)提高散熱性能:先進封裝通過優化封裝結構,增加芯片與散熱器之間的接觸面積,使用導熱性良好的材料,增加散熱器的表面積及散熱通道,改進芯片晶體管數量不斷增加而面臨的散熱問題。
5)實現系統重構:電子系統的構建可以在芯片級和基板級進行,通過在封裝內部實現系統級封裝,可以更好地實現系統重構。
6)提高加工效率和設計效率:先進封裝技術可以利用現有的晶圓製造設備,使封裝設計與芯片設計同時進行,縮短設計和生產周期,降低成本。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


1.2. 先進封裝內涵豐富,與 Chiplet 協同迎接算力時代

先進封裝內涵豐富,Bump、RDL、Wafer、TSV 四要素組合形成不同工藝。先進封裝內涵豐富,相對傳統封裝,新增的底層工藝包括 Bump(凸塊),RDL(再布線層),Wafer(晶圓),TSV(硅通孔)四要素。
Bump 用來取代傳統封裝中的引線鍵合,主要起界面電氣互聯和應力緩衝的作用,當前先進封裝無一例外均使用了 Bump 工藝。RDL 起着 XY平面電氣延伸的作用,Interposer(中介層,以硅為主)也發揮相似作用,主要應用於晶圓級封裝和 2.5D/3D 封裝等技術。Wafer 作為集成電路的載體以及 RDL 和 TSV 的介質和載體,在 2.5D 封裝中用於製作硅基板、在 WLP 晶圓級封裝中用於承載晶圓。TSV 起着 Z 軸電氣延伸的作用,是 2.5D/3D 封裝技術實現的主要途徑。從技術推出時間前後及先進性程度來看,排序為 Bump、RDL、Wafer、TSV。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


1.2.1. 先進封裝四要素:Bump、RDL、Wafer 和 TSV

1)Bump(凸塊)

凸塊具有多種形狀,替代引線鍵合,不斷向小型化發展。凸塊指的是定向生長於芯片表面,與芯片焊盤直接相連或間接相連的具有金屬導電特性的凸起物,具有球狀、柱狀和塊狀等不同形狀。傳統封裝通常通過引線實現芯片和基底的鍵合,先進封裝可以利用凸塊代替引線進行連接,縮短了路徑,反映了以「以點代線」的發展趨勢。同時,凸塊在往小型化發展,尺寸從最初應用在標準倒裝的 100um 發展到現在最小尺寸為5um。凸塊的使用可以縮小芯片體積、提升熱傳導效率、增加接口數量進而提高 I/O 密度。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


2)RDL(再布線層)

再布線技術可以實現引腳重新布局,滿足更多的芯片管腳需求。RDL 再布線技術可以實現芯片水平方向互連,重新規劃連線途徑,變換芯片初始設計的 I/O 焊盤位置和排列,調整為新的互連結構。在傳統芯片設計和製造時,芯片管腳處理模塊(I/O 端口)一般分佈在芯片邊緣或四周,通過芯片管腳可以實現對信號的處理和輸入輸出。隨着芯片不斷微縮,更高的芯片性能要求更多的 I/O 數量,I/O 端口分佈變得更加密集,傳統的引線鍵合無法滿足 I/O 需求,還會產生散熱問題。RDL 再布線技術可以通過在晶圓表面沉積金屬層和相應的介質層,形成新的金屬布線,重新布局 I/O 端口到佔位更寬鬆的區域,從而解決傳統封裝面臨的問題。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


3)Wafer(晶圓)

晶圓是芯片工藝實現的載體,用途廣泛,逐漸向更大尺寸發展。晶圓是集成電路的載體,在晶圓上可以進行光刻、刻蝕、氣相沉積、離子注入、研磨等多種處理工序,最終製成集成電路芯片。早先晶圓尺寸為 6 英寸到 8 英寸,現在普遍應用為 12 英寸,未來將廣泛應用 18 英寸,晶圓正在向更大尺寸發展。隨着晶圓的尺寸變大,先進封裝技術更先進,晶圓用途也更加廣泛,可以作為芯片的製作基底,也可以在晶圓上製作硅基板實現 2.5D 封裝,還可以在晶圓級封裝中承載晶圓。與傳統封裝是先切割晶圓再各自封裝不同的是,晶圓級封裝是先對整片晶圓進行封裝再切割成小的芯片顆粒,封裝面積與裸片一致,可以提高封裝效率並降低封裝成本。同時,晶圓級封裝沒有引線、鍵合和塑膠工藝,連接線路較短,可運用數組式連接,具有封裝尺寸小、高傳輸速度、高密度連接、生產周期短等優點。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


4)TSV(硅通孔)技術

TSV 主要用於立體封裝,滿足高密度、多功能的封裝需求。硅通孔技術TSV(Through-SilICon Via)是一種利用垂直硅通孔實現芯片 Z 軸電氣延伸和互聯的方法,是目前半導體製造業中最為先進的技術之一,主要用於立體封裝,如 2.5D 封裝和 3D 封裝。由於沒有引線鍵合,直接進行堆疊芯片,TSV 可以實現更薄的封裝和更短的互連距離;同時 TSV 可以通過通孔實現在三維方向堆疊,增加堆疊的芯片數量,實現密度更高的封裝。目前該技術廣泛用於 CMOS 圖像傳感器、HBM 高帶寬存儲器、MEMS 微機電系統等需要高密度、多功能集成的電子元器件。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


1.2.2. 基於 X/Y 軸延伸的先進封裝技術

未使用 TSV 是先進封裝基於 X/Y 平面延伸的主要標誌。先進封裝的四要素中,Bump(凸塊)、RDL(再布線層)技術主要應用在 Wafer(晶圓)平面或芯片平面,即 X/Y 平面,這三要素的使用被視為基於 X/Y 平面延伸的技術。而 TSV 硅通孔是基於 Z 軸進行信號延伸和互聯,沒有TSV 硅通孔則成為了基於 X/Y 平面延伸先進封裝的顯著特點。基於 X/Y平面先進封裝種類多樣,主要包括了扇入型封裝和扇出型封裝,同時發展出了 InFO、EMIB 等不同產品技術。
扇入型封裝的封裝大小和芯片大小相同,引腳數目有限;扇出型封裝裝大小一般大於芯片尺寸,可容納更多引腳。晶圓級封裝(WLP)有兩種主要類型:扇入型(Fan-in)和扇出型(Fan-out)。早期 WLP 主要採用扇入型封裝(FIWLP),布線均在芯片尺寸內完成,封裝大小和芯片大小相同,I/O 接口均位於晶粒(Die)下方,主要應用於面積較小、引腳數量少的芯片。隨着 IC 工藝的發展,芯片微縮,FIWLP 有限的芯片面積內無法容納足夠的引腳,從而逐漸衍生出了扇出型封裝(FOWLP),該技術使用再布線(RDL)技術和模塑化合物提供額外芯片面積,I/O接口分佈在晶粒之外,通過先將切割後芯片放置於人工基板後再進行封裝和切割,因此封裝大小一般大於芯片尺寸,可容納的引腳數目也得到提升。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


InFO(Integrated Fan-out):InFO 是台積電(TSMC)2017 年推出的FOWLP 先進封裝技術,是 FOWLP 工藝的集成,可視為多個芯片 Fan-out工藝的組合,給予了多個芯片集成的空間。InFO 進一步衍生出 InFO_PoP、InFO_oS 等種類。InFO_PoP 結合了 FOWLP 和 PoP 技術,下層部分的扇出型晶圓級封裝處理器通過凸塊、基板等連接了上層的 DRAM,使其外形更薄、電氣及熱性能更好,可用於移動設備領域。InFO_oS 運用了 InFO技術,使用 RDL 再布線層,相比於 InFO_PoP 增加了 PCB 基板,可集成多個先進的邏輯小芯片,可用於 5G 網絡應用。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


EMIB(Embedded Multi-Die Interconnect Bridge):EMIB是英特爾 2018年推出的技術,該技術沒有使用 TSV 硅通孔技術,因此可以被劃分為基於 XY 平面延伸的先進封裝技術。該技術使用傳統覆晶芯片方式連接晶粒和基板,通過一個很小的硅片實現晶粒直接的橋接,並將這部分嵌入載板內。EMIB 的硅片面積更小、成本更低,提供的帶寬更高,產生的功耗更低,封裝良率更高。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


1.2.3. 基於 Z 軸延伸的先進封裝技術

TSV 技術是基於 Z 軸延伸先進封裝的關鍵技術,包括 2.5D TSV 和 3D TSV。基於 Z 軸延伸的先進封裝技術主要通過了 TSV 進行多個芯片的垂直堆疊,實現信號延伸和互連。TSV 可分為 2.5D TSV 和 3D TSV,分別對應 2.5D 封裝和 3D 封裝,是垂直方向先進封裝的主要類別。2.5D 封裝特指採用了中介層(Interposer)進行高密度 I/O 互連的封裝,和 3D 封裝主要區別在芯片與芯片是否在垂直方向上連接,2.5D 封裝芯片在水平方向排列,硅通孔形成在中介層上,芯片與基板通過硅中介層相連。3D封裝芯片在垂直方向上排列,直接在芯片上製作硅通孔形成互連。2.5D封裝和 3D 封裝因為具有連接距離短、密度更高、尺寸和重量小且性能更好的優點,是各大廠商所採用的主流方法,基於 2.5D 封裝和 3D 封裝技術,各家廠商相繼推出了 CoWoS、Foveros、Co-EMIB-、SoIC、X-Cube等各類技術。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


CoWoS(Chip on Wafer on Substrate):台積電 2011 年推出了 CoWoS技術,該技術是典型的 2.5D 封裝技術,在硅中介層上製作 TSV 硅通孔,再通過硅中介層實現芯片和基板的連接,主要包含了 CoWoS-S、CoWoS-R、CoWoS-L 三種,S 表示硅中介層、R 表示 RDL(再布線)、L表示 LSI(嵌入式)。CoWoS-S 首先通過 CoW (Chip on Wafer)工藝連接芯片和硅晶圓,再連接 CoW 芯片和基板,過程中運用了微凸塊和 TSV工藝,能夠較好提升系統性能並降低功耗。CoWoS-R 和 CoWoS-L 中介層均使用了 RDL 技術。CoWoS-R 通過 InFO 技術使用 RDL 中介層實現小芯片的互連,常應用於 HBM(高帶寬存儲器)和 SoC 異構集成中,可以擴大封裝尺寸以滿足更複雜的功能需求。CoWoS-L 結合了 CoWoS-S和 InFO 技術的優點,通過中介層與 LSI(局部硅互連)芯片實現晶粒間的互連,RDL 層進行電源和信號傳輸,擁有最靈活的集成功能。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


SoIC(System of Integrated Chips):SOIC 技術是台積電在 2019 年推出的,是全球領先的超高密度 3D 堆疊技術,最突出的特點是沒有凸點的鍵合結構,可分為 CoW(Chip on Wafer)和 WoW(Wafer on Wafer)兩種方案,CoW 技術為單芯片層面的互連,進行異質集合,WoW 技術是使用整塊晶圓進行互連,進行同質異構集合。SoIC 是在前道晶圓製造環節將芯片進行堆疊,台積電推出的 CoWoS 和 InFO 技術則是在後道封裝環節進行進行晶圓級封裝堆疊,即先通過 SoIC 技術將芯片進行 3D 堆疊形成多顆SoC,再使用 CoWoS、InFO 工序進行整合,使封裝密度更高、鍵合間隔更小。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


Foveros&Co-EMIB:英特爾 2018 年推出了 Foveros 技術,該技術屬於3D 堆疊封裝技術,頂層的芯片通過微凸塊與底層芯片連接,底層芯片通過 TSV 硅通孔和下方的凸塊連接基板。Foveros 具有較強的靈活性、體積小、功耗低,適用於尺寸要求較小的產品和內存帶寬要求較高的產品。英特爾 2019 年推出了 Co-EMIB 技術,該技術將 EMIB 和 Foveros相結合,EMIB 主要是負責橫向連接,將不同功能的芯片拼接起來,而Foveros 則是縱向堆棧,兩種技術的結合是「2D+3D」的封裝方式,可以兼具 EMIB 和 Foveros 的優點。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


X-Cube/I-Cube:三星於 2021 年發佈 2.5D 封裝技術 Interposer-Cube4(I-Cube4), I-CubeTM 是一種異構集成技術,在一個硅中介層上水平放置一個或多個邏輯裸片(CPU、GPU 等)和多個高帶寬存儲器 (HBM) 裸片,使一個封裝中的多個裸片像單個芯片一樣運行。I-Cube4 集成了四個 HBM 和一個邏輯裸片。從高性能計算 (HPC) 到人工智能、5G、雲和大型數據中心應用,I-Cube4 都可通過異構集成在邏輯和存儲器之間實現更高的通信速度和能效。三星在 2020 年推出了 X-Cube 技術,計劃於 2024 年實現量產。X-Cube 使用 Z 軸堆疊邏輯裸片,可以提高動態鍵合能力、節約封裝面積。X-Cube 分為微凸塊(u-bump)和銅混合鍵合(Hybrid Copper Bonding)兩種芯片互聯方式,銅混合鍵合可以提高芯片靈活性和密度。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞

先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞

1.2.4. 系統級封裝(Sip

Sip 和先進封裝重合度高,但並不完全相同。系統級封裝(Sip,system in package)是將多種功能的芯片,包括處理器、存儲器等功能芯片集成在一個封裝內,從而實現一個基本完整的功能系統。Sip 和先進封裝重合度高,但並不完全相同,Sip 更關注封裝時系統的形成,而先進封裝的重點在於封裝技術和工藝的先進性。倒裝焊、集成扇出型封裝、2.5D/3D封裝既屬於先進封裝工藝,也可以應用於 Sip,但是先進封裝工藝中單芯片的扇入/扇出型晶圓封裝不屬於 Sip。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


1.2.5. Chiplet

Chiplet 指的是小芯片,在芯片製造過程中首先分解複雜的功能,再開發不同的具有特定功能、可以進行模塊化組裝的「小芯片」。要實現Chiplet,離不開先進封裝的技術支持,例如通過 2.5D 封裝或 3D 封裝將拆解的芯粒拼裝堆疊起來,才能真正實現 Chiplet 模式。2.5D 封裝目前是應用於 Chiplet 的主流方案,整體技術相對成熟,主要包括台積電的Cowos 技術和英特爾的 EMIB 技術。3D 封裝技術比較完善的是應用在DRAM 領域,目前主要有台積電的 SoIC、英特爾的 Foveros、三星的X-Cube。
Chiplet 可集成功能不同的計算核心,提高芯片性能。在 Chiplet 的組合過程中不僅可以實現異構集成化、還可以實現集成異質化。異構(Hetero Structure)集成化指的是將不同工藝製造的芯片封裝到一個大芯片中,例如將不同製程的 Chiplet 組合在一起。集成異質化(Hetero Material)指的是將不同材料的 Chiplet 封裝在一起,以生產尺寸更小、設計更靈活、系統性能更優的產品。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


Chiplet 可實現 IP 的內部復用、設計彈性的提升和良率的提升,從而降低成本。1)IP 的復用:芯片公司前期投入需要購買不同 IP,這部分支出在傳統設計模式中屬於一次性投入,而通過 Chiplet 技術的拆分可以實現 IP 復用,減少成本的疊加。2)設計彈性的提升:在芯片迭代時,可以靈活更換芯片的某一個部分,選擇性地進行迭代,這將大幅度降低產品的迭代成本。3)良率的提升:當芯片尺寸為 1600 平方毫米時,芯片的良率可能僅有 35.7%;當芯片尺寸為 100 平方毫米時,芯片的良率可以提升至94.2%。採用Chiplet模式,當芯片被拆分為小單元進行生產時,單片良率將得到提升,從而大幅度降低量產的成本。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


Chiplet 可應用於 HBM,解決高算力需求。高算力時代 AI 大模型需要處理大量的數據,導致數據計算量迅速增長。為了提高處理速度,GPU被用作核心處理器進行並行處理。然而,GPU 的數據處理能力受到「內存牆」的限制。HBM 則打破了「內存牆」對算力提升的限制,突破內存容量和帶寬的瓶頸,為 GPU 提供了更快的並行數據處理速度。HBM製作離不開先進封裝的技術,可通過 Chiplet 結合 3D 封裝技術和 2.5D封裝技術,將多個 DARM 堆疊在 HBM 內部,將 DARM 堆和 GPU 結合封裝在 HBM 中介層上方。
在高算力產品的應用中,Chiplet 具有更大的成本優勢。隨着 AI、人工智能的高速發展,產品對芯片性能、算力的要求也在提升。在 AI 處理器、AI 加速卡等對算力需求較高的產品中,芯片的面積往往大於 800mm2,超過其他常規產品。芯片面積在 200 毫米以下,Chiplet 沒有明顯的成本優勢,當面積超過800毫米,Chiplet相比傳統的SoC有較大的成本優勢,可見 Chiplet 更適合應用於高算力產品的芯片。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


2. 封裝市場持續擴張,先進封裝成新增長點

2.1. 封裝行業市場高度集中,新興領域注入增長動力

封裝是為了保護芯片以及確保電路性能,新興應用發展為封裝注入新動力。封裝產業鏈上游為封裝材料和封裝設備。封裝材料主要有封裝基板、鍵合絲、芯片粘結材料、引線框架和切割材料等,相關主要企業有康強電子興森科技岱勒新材三環集團等。封裝設備主要為減薄機、劃片機、引線鍵合機和塑封機等,目前封裝設備廠商主要有海外的 ASM Pacific、K&S、Disco 和國內的新益昌等企業。中游為集成電路封測,目前集成電路封測是中國大陸發展最完善的板塊,技術能力與國際先進水平比較接近。三星、AMD 和英特爾為 IDM 廠商,台積電、日月光、安靠和長電科技等為 OSTA 廠商。下游終端應用廣泛,涵蓋電子製造、通信設備、航空航天和軍事等眾多領域。近年來,隨着物聯網、人工智能、雲計算、大數據、5G、機械人等新興應用領域的蓬勃發展,各類封裝產品的使用場景和用量不斷增長,為封裝產業注入了新的增長動力。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


集成電路封測行業市場集中度較高,中國企業佔據較大份額。封測市場發展的主要力量仍是綜合多種封裝技術、產品和應用領域的綜合性集成電路封測企業。根據 2022 年海內外主要封測廠商營收排名前十名,日月光和安靠位居前二,大陸廠商中長電科技、通富微電華天科技已進入全球封測企業營收前十強。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


上游封裝材料市場規模持續擴張,封裝基板應用更加廣泛。近年上游封裝材料市場規模呈現上升趨勢,2022 年封裝材料市場規模達到 462.9 億元 , 其 中 引 線 框架 、 封 裝 基板 、 其 他材 料 的 市 場規 模 分 別 為118.7/105.3/238.9 億元。引線框架為傳統封裝主要材料之一,市場規模穩步上升。隨着新型高密度封裝形式的出現,引線框架正被封裝基板所替代,電子封裝的許多功能,如電氣連接,物理保護,正逐漸部分或全部的由封裝基板來承擔。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


集成電路下游應用廣泛,封裝測試在集成電路產業鏈中不可或缺。從應用領域佔比來看,消費類銷售額佔比最大,達 32.2%,由於我國居民消費水平不斷提升,消費電子產品市場需求持續增長,促進了我國消費電子行業健康快速發展。其次是通信類佔比 20.9%,模擬電路佔比 14.7%,以及計算機領域佔比為 14%。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


2.2. 封裝市場規模廣闊,先進封裝增長強勁

全球封測產業持續向好,封測產業已成為我國半導體的強勢產業。隨着物聯網、5G 通信、人工智能、大數據等新技術的不斷成熟,全球集成電路行業進入新一輪的上升周期,全球封測市場規模穩步上升,根據Yole和集微諮詢統計,2022 年全球封測市場規模達到 815 億美元,未來仍然保持穩步上升趨勢,預計 2026 年達到 961 億美元規模。同時,隨着近年來我國半導體產業的快速發展,為我國封裝測試行業的發展提供了強勁動力。預計 2023 年中國封測市場規模達到 2807 億元,未來保持上漲趨勢,預計 2026 年市場規模增長至 3248.4 億元。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


傳統封裝基本由 OSTA 廠家完成,先進封裝 Fab廠商深度參與。傳統封裝 IDM 廠商較少涉足,大部分進行外包。先進封裝因引入 bump、TSV、RDL、混合鍵合等工藝,需要光刻、刻蝕、薄膜沉積、CMP 等前道工藝完成,故 Fab 廠商開始介入封裝領域。此外,Fab 廠商與芯片設計廠家的聯繫也更加緊密。當前台積電(Fab)、英特爾(IDM)、AMD(芯片設計)、三星(IDM)等開始主導先進封裝產業的發展。台積電是先進封裝架構提出的先驅與主力,AMD 為 Chiplet 先驅,傳統封測廠與 IDM 廠商均有參與先進封裝構架提出。先進封裝芯片設計研發廠商中,邏輯芯片廠商主要為英偉達、AMD 和高通等。存儲芯片廠商主要為海力士、三星和美光。先進封裝芯片代工廠商主要為 Fab 廠商台積電、海力士、美光,OSTA 廠商日月光、安靠、長電先進以及 IDM 廠商 Intel 和三星。
如傳統封裝廣泛應用於各大電子領域一般,先進封裝應用也廣泛。不過考慮到先進封裝的成本,先進封裝主要應用在 HPC、手機、汽車等對技術要求更高的領域。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


先進封裝市場佔比快速提升,未來有望超越傳統封裝。傳統封裝具有性價比高、產品通用性強、使用成本低、應用領域廣的優點。高端消費電子、人工智能、數據中心等快速發展的應用領域大量依賴先進封裝,先進封裝的成長性要顯著好於傳統封裝。根據 Yole 和集微諮詢數據,預計2023 年全球先進封裝市場佔比為 48.8%, 2026 年達到 50.2%。中國先進封裝市場佔比較低,但仍有較大發展潛力,預計 2023 年中國先進封裝市場佔比將達到 39%。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


先進封裝中倒裝佔比最大,2.5D/3D 堆疊封裝增長強勁。根據產品工藝複雜程度、封裝形式、封裝技術、封裝產品所用材料是否處於行業前沿,先進封裝又細分為倒裝芯片封裝(Flip-Chip)、晶圓片級芯片規模封裝(WLCSP)、2.5D/3D 堆疊封裝(2.5D/3D stacking)、扇出型封裝(Fan-out)和嵌入式基板封裝(ED)技術。根據 Yole 和集微諮詢數據,各細分工藝中倒裝芯片封裝佔比最大,2022 年佔比為 76.7%。先進封裝市場規模總體呈現上升趨勢,倒裝芯片封裝 2020-2026 年 CAGR 為 6%,嵌入式基板封裝佔比較小,但 CAGR 最高,為 25%。其次是 2.5D/3D 堆疊封裝CAGR 為 24%,扇出型封裝 CAGR 為 15%。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞


3. 先進封裝應用廣泛,AI 發展帶動需求高增

先進封裝應用領域廣泛,需求增長迅速。先進封裝相較於傳統封裝技術能更好地提升芯片性能和生產效率,其應用場景不斷擴展。目前各種不同類型先進封裝技術已廣泛應用於人工智能(AI)、高性能運算(HPC)、5G、AR/VR 等領域,佔整體封測市場的比重也在不斷提升。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞

HPC、高端手機、高階自動駕駛有望成為先進封裝主要增長驅動。芯片下游應用廣泛,先進封裝由於其技術先進性與高昂的成本,目前優先應用於對性能要求高或對價格不敏感的高端領域。台積電是半導體芯片代工龍頭,芯片製程行業領先,此外也是推動先進封裝的先驅。台積電當前收入結構的拆分一定程度上可以表徵先進封裝的主要應用下游。2023年,台積電營收拆分來看以 HPC(佔比 43%)、Smart Phone(佔比 38%)、loT(佔比 8%)、Automotive(佔比 6%)貢獻為主。HPC 受大模型訓練的驅動,對於 HBM 等應用先進封裝的存儲需求快速攀升。高端手機(如蘋果)以及正在陸續面世的 AI 手機對於使用先進封裝的高階芯片的需求量亦持續水漲船高。自動駕駛未來將向 L4、L5 等高階方向發展,對於算力的需求會持續提升,有望為先進封裝提供新增量。綜合來看 HPC、AI 手機、高階自動駕駛對芯片性能要求較高,未來將成為先進封裝主要的需求驅動。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞

3.1. AI 大模型蓬勃發展,高性能算力需求為先進封裝注入新活力

ChatGPT引燃 AI 大模型發展熱潮。AI 大模型的發展最早可追溯到 1950年圖靈提出的「圖靈測試」,在 Open AI 正式向公眾推出 ChatGPT 之前,AI 大模型的發展主要沿着專用化(如谷歌的 Deepmind)、to B 等方向發展。2022 年 11 月 30 日,Open AI 正式發佈面向消費者的聊天機械人模型 ChatGPT,可實現翻譯、文本問答任務,其中問答體驗遠超搜索引擎,這導致不到 2 個月 ChatGPT 線上活躍用戶規模就達 1 億人。ChatGPT 的一炮而紅將 AI 大模型帶入大眾視野,AI 大模型的美好發展前景也使得全球頭部科技公司谷歌、微軟、Meta、亞馬遜、阿里、百度、騰訊等開始加大對大模型領域的發展投入。根據賽迪顧問,截至 2023 年 7 月,國外累積發佈大模型 138 個,國內共發佈大模型 130 個。在數量增加的同時,大模型的能力也在飛速提升。以 GPT 為例:2020 年 6 月發佈的GPT-3 僅可執行翻譯、文本問答任務,2023 年發佈的 GPT-4 已經可以實現語音、圖片、代碼問答任務,且可以輸出文本、語音、圖片。預計於2024 年發佈的 GPT-5 可能實現視頻傳輸,將重點提升推理能力,往多模態方向發展(2024 年 2 月 16 日 OpenAI 發佈文生視頻大模型 Sora,可根據文本生成長達 1 分鐘的視頻)。OpenAI 創始人 Altman 在 2024 年達沃斯經濟論壇上表示,如果說 GPT-4 可以實現人類 10%的任務,那麼GPT-5 將達到 15%-20%。2022 年,全球生成式 AI 市場規模達 107.9 億美元。根據 Precedence Research,2022-2032 年全球生成式 AI 市場規模CAGR 預計達 27.03%,2032 年全球生成式 AI 市場規模將達 1180.6 億美元。


先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞

先進封裝設備行業報告:AI拉動算力需求,先進封裝乘勢而起 - 天天要聞

模型優化升級帶動 AI服務器出貨量增加。根據OpenAI 提出的縮放法則,大模型表現與其規模強相關。因此,更大的參數量和訓練文本依然是通用大模型的發展路徑。模型算力需求與參數量和數據集正相關。伴隨着模型結構逐步優化,模型的參數量、預訓練數據量進一步提升,訓練所消耗的資源和對超算算力需求也呈現指數級別增長。未來三年,超算算力需求將提升超過 10 倍,而 AI 服務器是算力的核心。TrendForce 預計2023 年 AI 服務器出貨量將增長 38.4%,達到近 120 萬台,2026 年 AI服務器出貨量將達到近 240 萬台,預計 2022~2026 年 AI 服務器出貨量CAGR 為 22%。


--- 報告摘錄結束 更多內容請閱讀報告原文 ---

報告合集專題一覽 X 由【報告派】定期整理更新

(特別說明:本文來源於公開資料,摘錄內容僅供參考,不構成任何投資建議,如需使用請參閱報告原文。)

精選報告來源:報告派

科技分類資訊推薦

最新消息!她已離職!曾凌晨發文道歉 - 天天要聞

最新消息!她已離職!曾凌晨發文道歉

本月初,百度副總裁璩靜在短視頻平台上發佈的幾條出鏡視頻引髮網友關注,特別是其提到「員工鬧分手提離職我秒批」等職場話題引髮網友質疑,一度登上微博熱搜。
淘寶取消「618」預售機制後,京東宣布「現貨開賣」 - 天天要聞

淘寶取消「618」預售機制後,京東宣布「現貨開賣」

繼5月7日天貓宣布取消「618」預售機制後,5月8日,京東也正式宣布「現貨開賣」。今年京東618將於5月31日晚8點全面開啟。據了解,現貨開賣的同時,京東還將同步推出百億補貼日活動。在6月2日、6月3日、6月8日,還將先後推出9.
細思極恐網絡暴力和群毆 - 天天要聞

細思極恐網絡暴力和群毆

互聯網高速發展利用時代踏實做事和說實話的人都不被人待見,時時刻刻遭受網絡暴力,聲討,群毆,格力電器董明珠,踏踏實實做企業,創稅收,促進就業,,為國家為人民奉獻大半輩子青春,心血, 汗水,本該退休安享晚年,侍弄兒孫遺憾找不到合適放心接班人,七
探秘vivo影像之美,與X系列技術溝通會共同見證卓越藍圖 - 天天要聞

探秘vivo影像之美,與X系列技術溝通會共同見證卓越藍圖

前段時間的vivo X系列新品發佈讓我們記憶深刻,而剛剛結束結束的「影像新藍圖X系列技術溝通會」也十分精彩,這次溝通會不僅告訴了大家vivo在影像領域的最新成果,也讓粉絲們對vivo影像背後的故事有更多了解,在vivo影像的發展歷程中可以分成兩方面,一方面,vivo通過自主研發,不斷提升自身的影像技術實力,另一方面,vivo...
華為再次亮劍,鴻蒙系統亮點多多 - 天天要聞

華為再次亮劍,鴻蒙系統亮點多多

5月15日,華為夏季全場景新品發佈會簡直是一場視覺與科技的盛宴,看得我熱血沸騰!不得不說,華為這次真的是大招頻出,新品多到讓人眼花繚亂!先說說那個華為MatePad 11.5 」 S吧,一亮相就讓人眼前一亮!它的設計簡約而不失時尚,屏幕清晰得讓人彷彿置身其中。
【新機】升級了個寂寞?藍廠新機發佈 - 天天要聞

【新機】升級了個寂寞?藍廠新機發佈

昨天晚上藍廠發佈了新機iQOO Neo9s Pro,機子採用了6.78英寸1.5K 8T LTPO直面屏,支持144Hz刷新率。搭載天璣9300+,前置1600萬像素,後置IMX920主攝+5000萬超廣角。電池容量5160mAh,支持120W快充。新增白色版本,用上了白色玻璃後蓋,顏值確實提升了不少。不過還是塑料中框+短焦指紋。12+256GB 2699元
AI Agent在哪些行業領域會產生影響? - 天天要聞

AI Agent在哪些行業領域會產生影響?

在如今飛速前進的科技浪潮中,人工智能(AI)技術的創新正以前所未有的速度重塑我們的生活和工作的每一個角落。在這一浪潮中,Agent AI智能體以其卓越的能力脫穎而出,它通過模擬人類智能行為,能夠勝任一系列複雜任務,並在多個領域展現出了其無法替代的價值。