台積電先進封裝,最新進展

2022年06月28日12:38:05 資訊 1426

在之前,我們整理了semiwiki早前發佈的關於台積電先進工藝的報道(具體查看《台積電工藝的最新分享:信息量巨大》)。近日,他們又更新了關於台積電最新封裝的報道,我們在這裡也分享給大家。

對台積電熟悉的讀者應該知道,警員代工巨頭已將其 2.5D 和 3D 封裝產品合併為一個品牌——「3D Fabric」。按照他們的期望,未來的客戶會同時追求這兩種選擇,以提供系統級功能的密集、異構集成——例如,「前端」3D 垂直組裝與「後端」2.5D 集成相結合。

台積電先進封裝,最新進展 - 天天要聞

從技術上講,SoC 與「3D」高帶寬內存 HBM 堆棧的 2.5D 集成已經是一種組合產品。如上圖所示,台積電正在設想未來更豐富的拓撲組合,將 3D SoIC 與 2.5D CoWoS/InFO 相結合,作為非常複雜的異構系統設計的一部分。

與研討會上的工藝技術演示一樣,封裝技術的更新非常簡單——這表明其路線圖的成功、只需要持續執行即可,其中有幾個代表新方向的特定區域我們將在下面突出顯示。

特別值得注意的是台積電對先進系統集成工廠的投資,該工廠將支持 3D Fabric 產品,提供完整的組裝和測試製造能力。按照台積電所說,這座在竹南打造全球首座全自動化 3D Fabric先進封裝廠預計今年下半年開始生產。

為什麼聚焦先進封裝

在大家一貫的理解中,台積電所從事的其實是晶圓代工的業務。但進入新世紀,無論是台積電,還是三星甚至 Intel,都把先進封裝當做公司的一大工作重點,這主要是在日益增長的性能需求與摩爾定律的逐漸失效的矛盾影響下所演進出來的折中結果。

semiwiki報道所說,對於許多其他應用,摩爾定律不再具有成本效益,尤其是對於集成異構功能而言,多芯片模塊(Multi-chip modules :MCM)和系統級封裝(System in PackageSiP)等「Moore than Moore」技術已成為將大量邏輯和存儲器,模擬,MEMS等集成到(子系統)解決方案中的替代方案。但是,這些方法仍然是非常特定於客戶的,並且會花費大量的開發時間和成本。

翻看芯片發展的歷史,其實先進封裝這個概念已經存在了數十年。折中通過在封裝中組裝不同且先進的芯片是推進芯片設計的方法之一。今天,這個概念有時被稱為異構集成。儘管如此,由於成本的原因,高級封裝主要用於高端,面向利基市場的應用。

但這那可能很快就會改變。因為IC縮放是推進設計的傳統方式,它縮小了每個節點上的不同芯片功能,並將它們封裝到單片式芯片上。但是,IC縮放對許多人來說變得太昂貴了,並且每個節點的收益都在減少。

雖然縮放仍然是新設計的一種選擇,但業界正在尋找替代方案,包括高級封裝。而變化的是,該行業正在開發新的高級封裝類型或擴展現有技術。

高級封裝背後的動機仍然是相同的。與其將所有芯片功能塞在同一個芯片上,不如將它們分解並將它們集成到一個封裝中。據說這可以降低成本並提供更好的產量。另一個目標是使芯片彼此靠近。許多先進分裝使內存更接近處理器,從而以較低的延遲更快地訪問數據。

這聽起來很簡單,但是這裡有幾個挑戰。另外,沒有一種可以滿足所有需求的封裝類型。實際上,芯片客戶面臨著各種各樣的選擇。其中:扇出(晶圓級封裝中的集成die和組件)、2.5D / 3D(芯片在封裝中並排放置或彼此疊放)和3D-IC:(在內存上堆疊內存,在邏輯上堆疊或者在邏輯上堆疊邏輯)就成了三種常見的選擇。

此外,業界也正在追求一種稱為Chiplets的概念,該概念支持2.5D / 3D技術。這個想法是您在庫中有一個模塊化芯片或小芯片的選擇。然後,將它們集成到一個封裝中,並使用die到die的互連方案將它們連接起來。

在台積電方面,為了滿足市場對新型多芯片IC封裝解決方案的需求,他們也與其OIP合作夥伴合作開發了先進的IC封裝技術,以提供經濟的解決方案,以實現摩爾定律以外的集成。

2012年,TSMCXilinx一起推出了當時最大的FPGA,它由四個相同的28 nm FPGA芯片並排安裝在硅中介層上。他們還開發了硅通孔(TSV),微凸點和再分佈層(re-distribution-layer:RDL),以將這些構件相互連接。台積電基於其構造,將該集成電路封裝解決方案命名為CoWoS(Chip-on-Wafer-on-Substrate)。這種基於積木和EDA支持的封裝技術已成為高性能和高功率設計的實際行業標準。

台積電於2017年宣布了InFO(Integrated FanOut technology)技術。它使用polyamide film代替CoWoS中的硅中介層,從而降低了單位成本和封裝高度,這兩項都是移動應用成功的重要標準。台積電已經出貨了海量用於智能手機的InFO設計。

台積電於2019年又推出了集成芯片系統(SoIC)技術。藉助前端(晶圓廠)設備,TSMC可以非常精確地對準,然後使用許多窄間距的銅焊盤進行壓焊(compression-bond)設計,以進一步最小化形狀因數,互連電容和功率。

這兩種技術就逐漸演進成了今天的3D Fabric。

2022年的最新更新

如上文所示,按照台積電的規劃,現在他們的封裝技術有2.5D和3D兩種,我們先來看一下他們的2.5D。據介紹,台積電現在有兩類 2.5D 封裝技術——「基片上芯片」(CoWoS:chip-on-wafer-on-substrate) 和「集成扇出」(InFO:integrated fanout)。(請注意,在上圖中,一些 InFO 產品被 TSMC 表示為「2D」。)

這兩種技術的關鍵舉措是繼續擴大最大封裝尺寸,以便集成更多的die(和 HBM 堆棧)。例如,在硅中介層 (CoWoS-S) 上製造互連層需要「縫合」(stitching)多個光刻曝光——目標是按照最大標線尺寸的倍數來增加中介層尺寸。

首先看CoWoS,據介紹,台積電CoWoS 已擴展到提供三種不同的中介層技術(CoWoS 中的「晶圓」):

1.CoWoS-S:據台積電介紹,在這種封裝模式下,使用硅中介層,基於現有的硅片光刻和再分佈層處理

▪️ 自 2012 年開始批量生產,迄今已為 20 多個客戶提供超過 100 種產品

▪️ 中介層集成了嵌入式「溝槽」電容器

▪️ 開發中的 3 倍最大標線尺寸 – 支持具有 2 個大型 SoC 和 8 個 HBM3 內存堆棧的設計配置,以及 eDTC1100 (1100nF/mm**2)

2.CoWoS-R:在這種封裝模式下,使用有機中介層以降低成本

▪️ 多達 6 個互連重新分佈層,2um/2um L/S

▪️ 4倍光罩尺寸,支持一個 SoC 和 2 個 HBM2 堆棧,採用 55mmX55mm 封裝;2.1X 光罩尺寸正在開發中,2 個 SoC 和 2HBM2 採用 85mmX85mm 封裝

3.CoWoS-L:使用插入有機中介層的小型硅「橋」,用於相鄰die邊緣之間的高密度互連(0.4um/0.4um L/S 間距)

▪️ 2X 標線尺寸支持 2 個具有 6 個 HBM2 堆棧的 SoC 2023);

▪️ 4X 光罩尺寸正在開發中,以支持 12 個 HBM3 堆棧 (2024)

台積電強調,他們正在與 HBM 標準組就 CoWoS 實施的 HBM3 互連要求的物理配置進行合作。(對於堆棧定義,HBM3 標準似乎已經確定了以下內容:4GB 容量(4 個 8Gb 裸片)到 64GB(16 個 32Gb 裸片);1024 位信號接口;高達 819GBps 帶寬。)這些即將推出的 CoWoS 配置具有多個HBM3 堆棧將提供巨大的內存容量和帶寬。

此外,由於預計即將推出的 CoWoS 設計會出現更大的功耗,台積電正在研究合適的冷卻解決方案,包括改進芯片和封裝之間的熱界面材料 (TIM),以及從空氣冷卻過渡到浸沒冷卻。

在介紹完CoWoS之後,我們再看其InFO封裝技術。

據了解,這種封裝技術是在臨時載體上準確(面朝下)放置方向後,將die封裝在環氧樹脂「晶圓」中。再分配互連層被添加到重構的硅片表面。然後封裝凸塊直接連接到再分佈層。

按照台積電所說,公司的這個封裝共有 InFO_PoP、InFO_oS 和 InFO_B 幾種拓撲。

如下圖所示,InFO_PoP 表示封裝上封裝(package-on-package)配置,專註於 DRAM 封裝與基礎邏輯芯片的集成。DRAM 頂部裸片上的凸塊利用 InFO 通孔 (TIV) 到達重新分佈層。

台積電先進封裝,最新進展 - 天天要聞

台積電錶示,InFO_PoP 主要用於移動平台,自 2016 年面試以來,該封裝的芯片出貨量已經超過 12億。按照台積電所說,在當前的InFO_PoP模式下,其 DRAM 封裝是定製設計,因此只能在台積電製造。為此台積電正在開發另一種 InFO_B 拓撲,在頂部添加現有 (LPDDR) DRAM 封裝,並允許外部合同製造商提供組裝。

InFO_oS (on-substrate) 則可以封裝多個die,再分配層及其微凸塊通過 TSV 連接到基板。

這是一個生產超過 5 年的技術,專註於 HPC 客戶。從技術細節上看,該封裝的基板上有 5 個 RDL 層,具有 2um/2um L/S。這就讓該基板可實現較大的封裝尺寸,目前為 110 毫米 X 110 毫米。按照台積電所說,公司後續將計劃提供更大尺寸——130um C4凸點間距

台積電先進封裝,最新進展 - 天天要聞

至於InFO_M 則是 InFO_oS 的替代品,具有多個封裝die和再分佈層,無需額外的基板 + TSV(能實現< 500mm²封裝,且將在2H2022 生產)。

在介紹完了台積電的2.5D封裝之後,我們進入他們的3D封裝世界。其中,有一種被稱為InFO-3D的3D 堆疊封裝技術,該技術利用與再分佈層和 TIV 垂直集成的微凸塊芯片,專註於移動平台。

台積電先進封裝,最新進展 - 天天要聞

如圖所示,台積電還有一種更先進的垂直die堆疊 3D 拓撲封裝系列——被稱為「集成芯片系統」(SoIC)。它利用裸片之間的直接銅鍵合,能獲得非常基金的pitch。

按照台積電所說,公司共有兩種 SoIC 產品——「wafer-on-wafer」(WOW)和「chip-on-wafer」(COW)。其中WOW 拓撲在晶圓上集成了一個複雜的 SoC die,提供深溝槽電容器 (DTC) 結構以實現最佳去耦。更通用的 COW 拓撲堆疊多個 SoC die。

適合 SoIC 組裝的工藝技術如下表所示。

台積電先進封裝,最新進展 - 天天要聞

按照台積電所說,公司的3DFabric 的設計支持還包括了3Dblox。如上圖 3D Fabric 圖像的右上角所示,TSMC 正在設想複雜的系統封裝內設計實現,結合 3D SoIC 和 2.5D 技術。

台積電先進封裝,最新進展 - 天天要聞

如上所述,這個設計流程非常複雜,需要高級的熱、時序和 SI/PI 分析流程(也可以處理模型數據量)。為了支持這些系統級設計的開發,台積電與 EDA 供應商就三個主要的設計流程計划進行了合作:

當中首先包括使用粗粒度加細粒度方法以獲得改進的熱分析。

台積電先進封裝,最新進展 - 天天要聞

其次,台積電與EDA巨頭還在分層靜態時序分析(hierarchical static timing analysis)上合作。讓單個die由抽象模型表示,以降低總(multi-corne)數據分析的複雜性。

台積電先進封裝,最新進展 - 天天要聞

最後,台積電與EDA巨頭還在前端設計分區傻姑娘進行了合作。二為了幫助加速複雜系統的前端設計劃分,台積電還實施了一項名為「3Dblox」的計劃。

台積電先進封裝,最新進展 - 天天要聞

按照台積電所說,公司這個計劃的目標是將整個物理封裝系統分解為模塊化組件,然後將其集成。如圖所示,該計劃的模塊類別有:bumps/bonds、vias、caps、interposers和die。

藉助該計劃,這些模塊將被整合到任何 SoIC、CoWoS 或 InFO 封裝技術中。

特別值得注意的是,台積電正在努力使 3D Fabric 設計能夠使用各種 EDA 工具——也就是說,使用一種 EDA 供應商工具來完成物理設計,並且(可能)使用不同的 EDA 供應商產品來支持時序分析、信號完整性/電源完整性分析,熱分析。

3Dblox 似乎將 SoC 的「參考流」(reference flows)概念提升到了一個新的水平,台積電推動了 EDA 供應商數據模型和格式之間的互操作性。3Dblox 的整體flow capability將於 2022 年第三季度推出。(初步步驟——即在 InFO 上自動路由再分配信號——將是第一個發佈的功能。)

顯然,由於 2.5D 和 3D 配置的預期增長,台積電在先進封裝技術開發和(尤其是)新製造設施方面進行了大量投資。從 HBM2/2e 到 HBM3 內存堆棧的過渡將為使用 CoWoS 2.5 技術的系統設計帶來可觀的性能優勢。移動平台客戶將擴大 InFO 多芯片設計的多樣性。結合 3D 和 2.5D 技術的複雜 3DFabric 設計的採用無疑也會增加,利用台積電努力「模塊化」設計元素以加速系統分區,以及他們努力啟用廣泛的 EDA tools/flows的應用。。

封裝技術基本面

按照台積電方面的定義,諸如CoW(chip-on-wafer)和WoW(wafer-on-wafer)等前 端芯片堆疊技術統稱為「 SoIC」,即集成芯片系統(System of Integrated Chips)。這些技術的目標是在不使用後端集成選項上看到的「bumps」的情況下,將硅片堆疊在一起。在這裡,SoIC設計實際上是在創建鍵合接口,以便硅可以放在硅的頂部,就好像它是一整塊硅一樣。

根據台積電官方介紹,公司的SoIC服務平台提供創新的前段3D芯片間堆疊技術,用於重新集成從片上系統(SoC)劃分的小芯片。最終的集成芯片在系統性能方面優於原始SoC。它還提供了集成其他系統功能的靈活性。台積電指出,SoIC服務平台可滿足雲,網絡和邊緣應用中不斷增長的計算,帶寬和延遲要求。它支持CoW和WoW方案,而這兩種方案在混合和匹配不同的芯片功能、尺寸和技術節點時提供了出色的設計靈活性。

台積電先進封裝,最新進展 - 天天要聞

具體而言,台積電的SoIC技術是將多個die堆疊到「 3D構造塊」(又稱為「 3D Chiplet」)中的一種非常強大的方法。

如今,SoIC在垂直堆疊的芯片之間的每平方毫米空間能夠實現約10,000個互連。但看法這正在進行向每平方毫米100萬個互連的開發工作。3D-IC愛好者一直在尋找一種能夠實現這種細微互連,進一步減小外形尺寸,消除帶寬限制,簡化die堆疊中的熱量管理以及將大型、高度並行系統集成到其中的IC封裝方法。

台積電先進封裝,最新進展 - 天天要聞

按照台積電所說,SoIC的好處之一體現在在其熱性能。但是,這些SoIC技術的不利之處在於,堆疊設計必須彼此協同設計。然而諸如EMIB之類的微凸點技術以一種技術上可以將一系列芯片連接在一起的方式進行。使用類似COW和WOWO的SoIC技術,則從一開始就固定了設計。

儘管如此,台積電仍熱衷於提高其SoIC芯片堆疊能力。根據台積電的規劃,這是他們面向未來集成的一項關鍵技術,它超越了過去的中介層或芯片堆疊的實現方式,因為它允許在不使用任何微凸點的情況下堆疊硅芯片,而直接將硅的金屬層對準並鍵合到硅芯片上。

封裝中的另一個相對簡單的方案就是在一個封裝中連接兩個硅芯片。通常,這是通過兩塊硅片並排進行的,並採用多種連接方式。大多數人最熟悉的是中介層方法,該方法將一大塊硅片置於所有互連的die下面,並且比簡單地通過PCB封裝鋪設走線,它的布線方法更快捷。

類似地,另一種方法是將中介層嵌入在PCB中,僅用於將一個特定的die連接到另一個die(這就是Intel稱為其嵌入式多管芯互連橋或EMIB)。

第三個是die對die的直接垂直堆疊,但是,由於在兩塊硅片之間使用了微凸塊,因此這與上面提到的SoIC實現不同——SoIC使用了鍵合。實際上,台積電下半年產品中的所有實現都是基於微凸塊的,因為這允許在製造完每個芯片之後更好地混合和匹配不同芯片之間的場景,但是並沒有獲得SoIC提供的密度或功耗優勢。

這就是為什麼它被稱為「後段」高級封裝的原因。具有HBM功能的GPU就是通過這樣實現的。

許多支持HBM的GPU具有一個GPU裸片,幾個HBM裸片,所有這些裸片都放置在中介層的頂部。GPU和HBM由不同公司製造(甚至可以使用不同的HBM),而硅中介層也可以在其他地方製造。該硅中介層可以是無源的(不包含邏輯,僅僅是die到die間的路由),也可以是有源的,並且如果需要,可以設計為在芯片之間實現更好的網絡互連,儘管這意味着中介層會消耗電源。

台積電類似GPU中介層的策略在過去一直被稱為CoWoS(chip-on-wafer-on-substrate)。作為3DFabric的一部分,從實現方式上劃分,CoWoS現在具有三個變體:

台積電先進封裝,最新進展 - 天天要聞

每個人都熟悉的標準稱為CoWoS-S,其中S代表Silicon Interposer。CoWoS-S的局限性在於中介層的尺寸,該終結通常基於65nm製造工藝或類似工藝製造。由於中介層是單片硅片,因此必須類似地製造,並且隨着我們進入小芯片時代,客戶要求越來越大的中介層,這意味着台積電必須能夠製造它們(並提供高產量) 。

傳統芯片受標線(reticle)的大小限制,這是機器內部的基本限制,即在單個實例上可以「打印」一層的大小。為了使芯片尺寸為標片大小的產品成為可能,TSMC一直在開發多標線大小的插入器技術,以使這些產品更大。基於台積電自己的路線圖,我們預計2023年的CoWoS實施將是標線的四倍左右,每個產品將允許超過3000 平方毫米的有源邏輯硅。

InFO封裝使芯片可以「扇出」,以在 SoC標準平面圖之外增加其他連接。這意味着,雖然芯片邏輯區域可以很小,但芯片要比邏輯電路更大以容納所有必需的引腳輸出連接。台積電提供InFO已經有很多年了,但是在3DFabric的支持下,它現在將提供與封裝內連接有關的不同類型的InFO。

TMSC的封裝技術也可以結合在同一產品中。通過同時實現前端(SoIC)和後端(InFO)封裝,可以製造出新的產品類別。該公司製作了一個這樣的模型:

台積電先進封裝,最新進展 - 天天要聞

從表面上看,台積電將在未來幾年為客戶提供更多的封裝選擇。他們在這方面的主要競爭者似乎是英特爾,後者已經能夠在一些當前產品和某些即將發佈的產品中實現其EMIB和Foveros技術。台積電將受益於與更多項目和客戶合作。

★ 點擊文末【閱讀原文】,可查看本文原文鏈接!

*免責聲明:本文由作者原創。文章內容系作者個人觀點,半導體行業觀察轉載僅為了傳達一種不同的觀點,不代表半導體行業觀察對該觀點贊同或支持,如果有任何異議,歡迎聯繫半導體行業觀察。

今天是《半導體行業觀察》為您分享的第3084內容,歡迎關注。

晶圓|集成電路|設備|汽車芯片|存儲|台積電|AI|封裝

原文鏈接!

資訊分類資訊推薦

范瑋琪力挺陳建州,第三位受害人站出來,好姐妹makiyo也補刀 - 天天要聞

范瑋琪力挺陳建州,第三位受害人站出來,好姐妹makiyo也補刀

#陳建州風波牽連多位明星#近期,台灣省藝人陳建州性騷擾事件越演越烈,已經有三位女藝人大牙、郭源元和黑澀會美眉妖嬌出來指控陳建州對她們的性騷擾行為。陳建州妻子范瑋琪則發文力挺老公。范瑋琪發文表示自己孕期一直在家,外出黑人一直陪同,黑人不可能存在帶女性回家的可能。
一戰華工的珍貴照片 - 天天要聞

一戰華工的珍貴照片

赴歐勞工上船前消毒洗澡,發放印有編號的外衣、褲子、腰帶及內衣、草帽及鞋襪等,還統一配置毛毯、鋪蓋、水杯和鐵飯碗的工具包,並在離國前集中在威海等地稍作軍訓。
陽後還有這個後遺症,向風而行徵文 - 天天要聞

陽後還有這個後遺症,向風而行徵文

#頭條創作挑戰賽第二期#​#暖冬的生活記#​#電視劇向風而來行徵文#​最近我右側的眼睛好難受,每天睡醒就然糊糊的,一天感覺看東西不太清楚,視力下降很明顯,頭也暈暈的,悶悶的,不是很舒服。我不知道其他人陽後有沒有這個癥狀。
北大高材生感嘆道:「這輩子我就沒說過幾次真話!」 - 天天要聞

北大高材生感嘆道:「這輩子我就沒說過幾次真話!」

我有一個姓於的朋友,大家都管他叫老於。老於今年五七多歲,北大畢業,清華讀的碩士,北師大讀的博士,妥妥的學霸。他現在是一家廳級單位的副總工,待遇也不錯。在我們這些人眼裡,那可是人中龍鳳,幾乎是神一樣的存在。有一次幾個朋友一起喝酒,喝多以後,大家照例吹捧這位學霸朋友。
畫家把字畫作價3萬放老闆店裡寄賣,老闆索要2成傭金,被畫家拒絕 - 天天要聞

畫家把字畫作價3萬放老闆店裡寄賣,老闆索要2成傭金,被畫家拒絕

街上有那種賣字畫的店鋪,這種店鋪非常的少,因為懂畫的人也少。店鋪的老闆是個愛畫之人,不是名家的畫,只要是畫的好,價格合適,他也會收下,或者幫忙寄賣。王立是一名沒有任何名氣的畫家,經常會拿一些畫到店裡寄賣,幾百到幾千的價格都有。這一天,王立又拿來一幅畫,說是要寄賣。
04年遼寧老漢撿石頭修豬圈,發現&#34;白髮人頭&#34;,專家趕來:終於找到了 - 天天要聞

04年遼寧老漢撿石頭修豬圈,發現&#34;白髮人頭&#34;,專家趕來:終於找到了

二〇二二年,很多很有名的節目被網友們重新翻出來,比如《今日說法》,網友們甚至還列出了必看節目單。說到必看的「電子榨菜」,網友們紛紛調侃起了一檔節目,它十分喜歡以農村口耳相傳的驚悚故事為開頭,經過不斷的探索,最終以科學的手段解釋靈異現象,它就是《走近科學》。
運河拾韻:愛的波濤(十三) || 朱鈞賢 - 天天要聞

運河拾韻:愛的波濤(十三) || 朱鈞賢

作者:朱鈞賢 攝影:竹葉青「老闆,還有什麼吩咐?」雪娟和素英同時止步,愕然的轉過身來。徐文琪將手中的鋼筆在桌子上敲了敲,注視了她們一眼,道:「還有幾個問題要對你講一講,我們飯店是食品服務性質的,首先必須要求清潔和衛生,還有的是要注意服務態度必須熱情周到,使客人滿意。